ASML Netherlands B.V.

Netherlands

Back to Profile

1-100 of 3,903 for ASML Netherlands B.V. Sort by
Query
Patent
United States - USPTO
Excluding Subsidiaries
Aggregations Reset Report
Date
New (last 4 weeks) 25
2024 April (MTD) 15
2024 March 22
2024 February 29
2024 January 38
See more
IPC Class
G03F 7/20 - Exposure; Apparatus therefor 2,044
G03B 27/42 - Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original 424
G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically 393
G03B 27/52 - Projection printing apparatus, e.g. enlarger, copying camera - Details 365
G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor 329
See more
Status
Pending 619
Registered / In Force 3,284
Found results for  patents
  1     2     3     ...     40        Next Page

1.

CHARGED PARTICLE APPARATUS AND METHOD

      
Application Number 18530109
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-04-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van Soest, Jurgen
  • Veenstra, Roy Ramon
  • Smakman, Erwin Paul
  • Van Zutphen, Tom
  • Mangnus, Albertus Victor Gerardus

Abstract

The disclosure relates to a charged particle beam apparatus configured to project charged particle beams towards a sample. The charged particle beam apparatus comprises: a plurality of charged particle-optical columns configured to project respective charged particle beams towards the sample, wherein each charged particle-optical column comprises: a charged particle source configured to emit the charged particle beam towards the sample, the charged particle sources being comprised in a source array; an objective lens comprising an electrostatic electrode configured to direct the charged particle beam towards the sample; and a detector associated with the objective lens array, configured to detect signal charged particles emitted from the sample. The objective lens is the most down-beam element of the charged particle-optical column configured to affect the charged particle beam directed towards the sample.

IPC Classes  ?

  • H01J 37/12 - Lenses electrostatic
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path

2.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD OF ALIGNING A SAMPLE IN A CHARGED PARTICLE ASSESSMENT SYSTEM

      
Application Number 18397896
Status Pending
Filing Date 2023-12-27
First Publication Date 2024-04-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor Slot, Erwin

Abstract

Disclosed herein is a method of aligning a sample in a charged particle assessment system. The system comprises a support for supporting a sample, and is configured to project charged particles in a multi-beam towards a sample along a multi-beam path, the multi-beam comprising an arrangement of beamlets, and to detect signal particles emitted from the sample in response to a corresponding beamlet of the multi-beam. The method comprises: directing the multi-beam of charged particles along the multi-beam path towards an alignment feature of the sample, such that the field of view of the multi-beam of charged particles encompasses the alignment feature; detecting the signal particles emitted from the sample; generating a dataset representative of the alignment feature based on the detecting of the signal particles; and determining a global alignment of the sample with respect to the multi-beam path, using the dataset.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation

3.

PROCESS WINDOW BASED ON DEFECT PROBABILITY

      
Application Number 18511454
Status Pending
Filing Date 2023-11-16
First Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Slachter, Abraham
  • Hunsche, Stefan
  • Tel, Wim Tjibbo
  • Van Oosten, Anton Bernhard
  • Van Ingen Schenau, Koenraad
  • Rispens, Gijsbert
  • Peterson, Brennan

Abstract

A method including obtaining (i) measurements of a parameter of the feature, (ii) data related to a process variable of a patterning process, (iii) a functional behavior of the parameter defined as a function of the process variable based on the measurements of the parameter and the data related to the process variable, (iv) measurements of a failure rate of the feature, and (v) a probability density function of the process variable for a setting of the process variable, converting the probability density function of the process variable to a probability density function of the parameter based on a conversion function, where the conversion function is determined based on the function of the process variable, and determining a parameter limit of the parameter based on the probability density function of the parameter and the measurements of the failure rate.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

4.

METHOD FOR RULE-BASED RETARGETING OF TARGET PATTERN

      
Application Number 17769107
Status Pending
Filing Date 2020-09-24
First Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Hamouda, Ayman

Abstract

A method for generating a retargeted pattern for a target pattern to be printed on a substrate. The method includes obtaining (i) the target pattern comprising at least one feature, the at least one feature having geometry including a first dimension and a second dimension, and (ii) a plurality of biasing rules defined as a function of the first dimension, the second dimension, and a property associated with features of the target pattern within a measurement region; determining values of the property at a plurality of locations on the at least one feature of the target pattern, each location surrounded by the measurement region; selecting, from the plurality of biasing rules based on the values of the property, a sub-set of biases; and generating the retargeted pattern by applying the selected sub-set of biases to the at least one feature of the target pattern.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

5.

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

      
Application Number 18392494
Status Pending
Filing Date 2023-12-21
First Publication Date 2024-04-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ren, Weiming
  • Liu, Xuedong
  • Hu, Xuerang
  • Chen, Zhongwei

Abstract

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit forms plural and parallel images of one single electron source by deflecting plural beamlets of a parallel primary-electron beam therefrom, and one objective lens focuses the plural deflected beamlets onto a sample surface and forms plural probe spots thereon. A movable condenser lens is used to collimate the primary-electron beam and vary the currents of the plural probe spots, a pre-beamlet-forming means weakens the Coulomb effect of the primary-electron beam, and the source-conversion unit minimizes the sizes of the plural probe spots by minimizing and compensating the off-axis aberrations of the objective lens and condenser lens.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/10 - Lenses
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

6.

METROLOGY TARGET SIMULATION

      
Application Number 18265755
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Kraaij, Markus Gerardus Martinus Maria

Abstract

A method of simulating an electromagnetic response of a metrology target comprising first and second gratings, wherein the second grating is below the first grating, the method comprising: receiving a model defining (i) the first grating as having a first number of grating lines within a pitch, each of the first number of grating lines separated by a first pitch; and (ii) the second grating as having a second number of grating lines within the pitch, each of the second number of grating lines separated by a second pitch; using the model and the first pitch to simulate properties of the first grating and generate a first scattering matrix; using the model and the second pitch to simulate properties of the second grating and generate a second scattering matrix; generating a scattering matrix defining properties of the metrology target by combining the first scattering matrix and the second scattering matrix.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

7.

A MACHINE LEARNING MODEL USING TARGET PATTERN AND REFERENCE LAYER PATTERN TO DETERMINE OPTICAL PROXIMITY CORRECTION FOR MASK

      
Application Number 18276018
Status Pending
Filing Date 2022-01-31
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zhang, Quan
  • Chen, Been-Der
  • Fong, Wei-Chun
  • Zhu, Zhangnan
  • Boone, Robert Elliott

Abstract

Described are embodiments for generating a post-optical proximity correction (OPC) result for a mask using a target pattern and reference layer patterns. Images of the target pattern and reference layers are provided as an input to a machine learning (ML) model to generate a post-OPC image. The images may be input separately or combined into a composite image (e.g., using a linear function) and input to the ML model. The images are rendered from pattern data. For example, a target pattern image is rendered from a target pattern to be printed on a substrate, and a reference layer image such as dummy pattern image is rendered from dummy pattern. The ML model is trained to generate the post-OPC image using multiple images associated with target patterns and reference layers, and using a reference post-OPC image of the target pattern. The post-OPC image may be used to generate a post-OPC mask.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

8.

CONFIGURATION OF PATTERNING PROCESS

      
Application Number 18277014
Status Pending
Filing Date 2022-02-25
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ser, Jung Hoon
  • Park, Sungwoon
  • Lei, Xin
  • Jeong, Jinwoong
  • Zhao, Rongkuo
  • Hsu, Duan-Fu Stephen
  • Li, Xiaoyang

Abstract

Methods for configuring a patterning process based on results of another patterning process is described. The method includes obtaining a first set of contours by simulating a first patterning process using a design layout in a first orientation. The contours satisfy a design specification associated with the design layout and correspond to a first set of process window conditions. A second patterning process is configured based on a second orientation of the design layout, the first set of process window conditions and the first set of contours. The second patterning process is associated with one or more design variables (e.g., illumination, mask pattern) that affect a second set of contours. The configuring includes adjusting one or more design variables until the second set of contours are within a desired matching threshold with the first set of contours.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

9.

HOLLOW-CORE PHOTONIC CRYSTAL FIBER BASED BROADBAND RADIATION GENERATOR

      
Application Number 18505860
Status Pending
Filing Date 2023-11-09
First Publication Date 2024-04-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ravensbergen, Janneke
  • Uebel, Patrick Sebastian
  • Pongers, Willem Richard

Abstract

A broadband radiation source device configured for generating a broadband output radiation upon receiving pump radiation, the device including: a hollow-core photonic crystal fiber (HC-PCF) including at least one structurally varied portion having at least one structural parameter of the HC-PCF varied with respect to one or more main portions of the HC-PCF, wherein the at least one structurally varied portion includes at least a structurally varied portion located downstream of a position along the length of the HC-PCF where the pump radiation will be spectrally expanded by a modulation instability dominated nonlinear optical process, and wherein the at least one structurally varied portion is configured and located such that the broadband output radiation includes wavelengths in the ultraviolet region.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G02B 6/02 - Optical fibres with cladding
  • G02F 1/365 - Non-linear optics in an optical waveguide structure
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • H01S 3/067 - Fibre lasers

10.

METHODS OF FITTING MEASUREMENT DATA TO A MODEL AND MODELING A PERFORMANCE PARAMETER DISTRIBUTION AND ASSOCIATED APPARATUSES

      
Application Number 17766585
Status Pending
Filing Date 2020-10-05
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Keyvani Janbahan, Aliasghar
  • Spiering, Frans Reinier
  • Wildenberg, Jochem Sebastiaan
  • Mos, Everhardus Cornelis

Abstract

A method of processing measurement data relating to a substrate processed by a manufacturing process. The method includes obtaining measurement data relating to a performance parameter for at least a portion of the substrate; and fitting the measurement data to a model by minimizing a complexity metric applied to fitting parameters of the model while not allowing the deviation between the measurement data and the fitted model to exceed a threshold value.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

11.

ALIGNMENT METHOD AND ASSOCIATED ALIGNMENT AND LITHOGRAPHIC APPARATUSES

      
Application Number 18276420
Status Pending
Filing Date 2022-02-11
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Thissen, Nick Franciscus Wilhelmus
  • Karssemeijer, Leendert Jan

Abstract

A method for determining a set of correction weights to correct metrology data. The method includes obtaining first metrology data relating to a plurality of illumination settings of measurement radiation used to perform a measurement, where each illumination setting includes a different wavelength, polarization or combination thereof; fitting the metrology data to a model for representing the metrology data and determining fit residuals; and determining the correction weights as correction weights which minimize the fit residuals.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

12.

PELLICLE MEMBRANE FOR A LITHOGRAPHIC APPARATUS AND METHOD

      
Application Number 18276248
Status Pending
Filing Date 2022-02-03
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Vermeulen, Paul Alexander
  • Houweling, Zomer Silvester

Abstract

A carbon nanotube membrane including carbon nanotubes having a pre-selected bonding configuration or (m, n) chirality, wherein the carbon nanotube membrane has a substantial amount of carbon nanotubes having zigzag (m, 0) chirality and/or armchair (m, m) chirality. An apparatus for the treatment of a carbon-based membrane, a method for treating carbon based membranes, pellicles including carbon based membranes, lithographic apparatuses includes carbon nanotube membranes, as well as the use of carbon nanotube membranes in lithographic apparatuses and methods are also described.

IPC Classes  ?

  • C01B 32/174 - Derivatisation; Solubilisation; Dispersion in solvents
  • B01J 19/12 - Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
  • B01J 19/24 - Stationary reactors without moving elements inside
  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

13.

NOVEL INTERFACE DEFINITION FOR LITHOGRAPHIC APPARATUS

      
Application Number 18274990
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Klinkhamer, Jacob Fredrik Friso
  • Van Hinsberg, Michel Alphons Theodorus

Abstract

A method for representing control parameter data for controlling a lithographic apparatus during a scanning exposure of an exposure field on a substrate, the method including: obtaining a set of periodic base functions, each base function out of the set of periodic base functions having a different frequency and a period smaller than a dimension associated with the exposure field across which the lithographic apparatus needs to be controlled; obtaining the control parameter data; and determining a representation of the control parameter data using the set of periodic base functions.

IPC Classes  ?

14.

A METHOD OF DETERMINING A MEASUREMENT RECIPE AND ASSOCIATED METROLOGY METHODS AND APPARATUSES

      
Application Number 18275663
Status Pending
Filing Date 2022-01-12
First Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Laarhoven, Hendrik Adriaan
  • Van Den Broek, Bastiaan Maurice
  • Rutigliani, Vito Daniele

Abstract

A method of determining a measurement recipe for measurement of in-die targets located within one or more die areas of an exposure field. The method includes obtaining first measurement data relating to measurement of a plurality of reference targets and second measurement data relating to measurement of a plurality of in-die targets, the targets having respective different overlay biases and measured using a plurality of different acquisition settings for acquiring the measurement data. One or more machine learning models are trained using the first measurement data to obtain a plurality of candidate measurement recipes, wherein the candidate measurement recipes include a plurality of combinations of a trained machine learned model and a corresponding acquisition setting; and a preferred measurement recipe is determined from the candidate measurement recipes using the second measurement data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

15.

A METHOD FOR CHARACTERIZING A MANUFACTURING PROCESS OF SEMICONDUCTOR DEVICES

      
Application Number 18387082
Status Pending
Filing Date 2023-11-06
First Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tel, Wim Tjibbo
  • Dillen, Hermanus Adrianus
  • Kea, Marc Jurian
  • Maslow, Mark John
  • Thuijs, Koen
  • Engblom, Peter David
  • Huijgen, Ralph Timotheus
  • Slotboom, Daan Maurits
  • Mulkens, Johannes Catharinus Hubertus

Abstract

A method of determining a characteristic of one or more processes for manufacturing features on a substrate, the method including: obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain measured data of one or more dimensions of each of at least some of the plurality of features; determining a statistical parameter that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features; determining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and determining the characteristic of the one or more processes to have the probability of defective manufacture of features and the statistical parameter.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

16.

APPARATUS FOR AND METHOD OF REDUCING CONTAMINATION FROM SOURCE MATERIAL IN AN EUV LIGHT SOURCE

      
Application Number 18528933
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-03-28
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ma, Yue
  • Labetski, Dzmitry
  • Laforge, Andrew David

Abstract

Disclosed is a source for and method of generating extreme ultraviolet radiation in which spitting of molten target material is hindered through depletion of the number of hydrogen radicals available to enter deposits of molten target material and create hydrogen bubbles therein by introducing an active gas that reacts with the hydrogen radicals.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

17.

METHOD OF COMPENSATING FOR AN EFFECT OF ELECTRODE DISTORTION, ASSESSMENT SYSTEM

      
Application Number 18534455
Status Pending
Filing Date 2023-12-08
First Publication Date 2024-03-28
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

Assessment systems and methods are disclosed. In one arrangement, an effect of electrode distortion in an objective lens array is compensated. An electrode distortion is adjusted by varying an electrostatic field in the objective lens array. The adjustment is such as to compensate for an effect of electrode distortion on sub-beams of a multi-beam impinging on a sample. A sub-beam is refocused in response to the variation in electrostatic field in the objective lens array. The adjusting and the refocusing comprises changing potentials applied to at least two electrodes of the objective lens array.

IPC Classes  ?

  • H01J 37/12 - Lenses electrostatic
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/244 - Detectors; Associated components or circuits therefor

18.

APPARATUS AND METHOD FOR DETERMINING A CONDITION ASSOCIATED WITH A PELLICLE

      
Application Number 18376237
Status Pending
Filing Date 2023-10-03
First Publication Date 2024-03-28
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • ASML HOLDING N.V. (Netherlands)
Inventor
  • Brouns, Derk Servatius Gertruda
  • Adams, Joshua
  • Bendiksen, Aage
  • Jacobs, Richard
  • Judge, Andrew
  • Kottapalli, Veera Venkata Narasimha Narendra Phani
  • Lyons, Joseph Harry
  • Modderman, Theodorus Marinus
  • Ranjan, Manish
  • Van De Kerkhof, Marcus Adrianus
  • Xiong, Xugang

Abstract

An apparatus for determining a condition associated with a pellicle for use in a lithographic apparatus, the apparatus including a sensor, wherein the sensor is configured to measure a property associated with the pellicle, the property being indicative of the pellicle condition.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 1/64 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material thereof

19.

FEATURE BASED CELL EXTRACTION FOR PATTERN REGIONS

      
Application Number 18039701
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Lin, Yan-Ting

Abstract

Systems and methods of feature-based cell extraction. The methods include obtaining data representative of a layout, wherein the layout includes a pattern region having no vertices, extracting unit cells from the pattern region having no vertices, identifying, using the unit cells, a set of regions of the layout matching the unit cells, and generating, using the unit cells, a hierarchy for the set of regions. In some embodiments the pattern regions have oblique angle features or have no vertices of features. The pattern regions can have a feature including a feature slope, a horizontal or a vertical pitch, or a line-space feature. In some embodiments the hierarchy is optimized using a linear optimization and can be provided for use in modeling, OPC, defect inspection, defect prediction, or SMO.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

20.

METROLOGY METHOD AND SYSTEM AND LITHOGRAPHIC SYSTEM

      
Application Number 18269983
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Alpeggiani, Filippo
  • Belt, Harm Jan Willem
  • Goorden, Sebatianus Adrianus
  • Setija, Irwan Dani
  • Huisman, Simon Reinald
  • Pellemans, Henricus Petrus Maria

Abstract

A method for measuring a parameter of interest from a target and associated apparatuses. The method includes obtaining measurement acquisition data relating to measurement of the target and finite-size effect correction data and/or a trained model operable to correct for at least finite-size effects in the measurement acquisition data. At least finite-size effects in the measurement acquisition data is corrected for using the finite-size effect correction data and/or the trained model to obtain corrected measurement data and/or obtain a parameter of interest; and where the correcting does not directly determine the parameter of interest, determining the parameter of interest from the corrected measurement data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

21.

METHOD FOR GENERATING PATTERNING DEVICE PATTERN AT PATCH BOUNDARY

      
Application Number 18382822
Status Pending
Filing Date 2023-10-23
First Publication Date 2024-03-21
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhang, Quan
  • Cho, Yong-Ju
  • Zhu, Zhangnan
  • Huang, Boyang
  • Chen, Been-Der

Abstract

A method for generating a mask pattern to be employed in a patterning process. The method including obtaining (i) a first feature patch including a first polygon portion of an initial mask pattern, and (ii) a second feature patch including a second polygon portion of the initial mask pattern; adjusting the second polygon portion at a patch boundary between the first feature patch and the second feature patch such that a difference between the first polygon portion and the second polygon portion at the patch boundary is reduced; and combining the first polygon portion and the adjusted second polygon portion at the patch boundary to form the mask pattern.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

22.

INTENSITY ORDER DIFFERENCE BASED METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHODS THEREOF

      
Application Number 18255543
Status Pending
Filing Date 2021-12-02
First Publication Date 2024-03-21
Owner
  • ASML Holding N.V. (Netherlands)
  • ASML Netherlands B.V. (Netherlands)
Inventor
  • Kreuzer, Justin Lloyd
  • Huisman, Simon Reinald
  • Goorden, Sebastianus Adrianus
  • Alpeggiani, Filippo

Abstract

The system includes a radiation source, a diffractive element, an optical system, a detector, and a processor. The radiation source generates radiation. The diffractive element diffracts the radiation to generate a first beam and a second beam. The first beam includes a first non-zero diffraction order and the second beam includes a second non-zero diffraction order that is different from the first non-zero diffraction order. The optical system receives a first scattered beam and a second scattered radiation beam from a target structure and directs the first scattered beam and the second scattered beam towards a detector. The detector generates a detection signal. The processor analyzes the detection signal to determine a target structure property based on at least the detection signal. The first beam is attenuated with respect to the second beam or the first scattered beam is purposely attenuated with respect to the second scattered beam.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

23.

SEMICONDUCTOR CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Application Number 18038206
Status Pending
Filing Date 2021-10-26
First Publication Date 2024-03-21
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Nihtianov, Stoyan
  • Kanai, Kenichi
  • Ramachandra Rao, Padmakumar

Abstract

A detector may be provided for a charged particle apparatus comprising: a sensing element including a diode; and a circuit configured to detect an electron event caused by an electron impacting the sensing element, wherein the circuit comprises a voltage monitoring device and a reset device, wherein the reset device is configured to regularly reset the diode by setting a voltage across the diode to a predetermined value, and wherein the voltage monitoring device is connected to the diode to monitor a voltage across the diode in between resets.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

24.

METHOD TO PREDICT METROLOGY OFFSET OF A SEMICONDUCTOR MANUFACTURING PROCESS

      
Application Number 18276014
Status Pending
Filing Date 2022-01-21
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Dos Santos Guzella, Thiago
  • Ishibashi, Masashi
  • Sanno, Noriaki
  • Bastani, Vahid
  • Sahraeian, Reza
  • Saputra, Putra

Abstract

A method for determining a spatially varying process offset for a lithographic process, the spatially varying process offset (MTD) varying over a substrate subject to the lithographic process to form one or more structures thereon. The method includes obtaining a trained model (MOD), having been trained to predict first metrology data based on second metrology data, wherein the first metrology data (OV) is spatially varying metrology data which relates to a first type of measurement of the one or more structures being a measure of yield and the second metrology data (PB) is spatially varying metrology data which relates to a second type of measurement of the one or more structures and correlates with the first metrology data; and using the model to obtain the spatially varying process offset (MTD).

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

25.

PATTERNING DEVICE CONDITIONING SYSTEM AND METHOD

      
Application Number 17768881
Status Pending
Filing Date 2020-09-14
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van De Kerkhof, Marcus Adrianus
  • Van De Wetering, Ferdinandus Martinus Jozef Henricus
  • Yakunin, Andrei Mikhailovich

Abstract

A reticle conditioning system includes: a support structure to support a reticle; a gas supply module to provide a flow of gas adjacent to the reticle; and a biasing module to control an electrical potential of the reticle. The biasing module includes a first electrode, a second electrode and a voltage supply. The first and second electrodes are each spaced apart from and facing the reticle, when the reticle is supported by the support structure, so as to at least partially overlap with the reticle. The voltage supply is arranged to maintain the first electrode at a positive voltage, and the second electrode at a negative voltage, these voltages being such that the voltage of the reticle is negative. The second electrode is disposed such that, when the reticle is supported by the support structure, it does not overlap an image forming portion of the reticle.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

26.

METHODS AND APPARATUS FOR ACOUSTIC METROLOGY

      
Application Number 18268467
Status Pending
Filing Date 2021-12-15
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Arabul, Mustafa Ümit
  • Zhou, Zili
  • Coene, Willem Marie,julia,marcel
  • Verschuren, Coen Adrianus
  • Van Neer, Paul, Louis,maria Joseph
  • Piras, Daniele
  • Blaak, Sandra
  • Koek, Wouter Dick
  • Willekers, Robert Wilhelm

Abstract

A metrology apparatus for determining one or more parameters of a structure fabricated in or on a semiconductor substrate. The apparatus comprises a transducer array comprising a plurality of transducers positioned in a plane. The plurality of transducers comprises at least one transmitter transducer for emitting acoustic radiation in a frequency range from 1 GHz to 100 GHz towards the structure, and at least one receiver transducer for receiving acoustic radiation reflected and/or diffracted from the structure.

IPC Classes  ?

  • G01N 29/04 - Analysing solids
  • G01N 29/26 - Arrangements for orientation or scanning
  • G01N 29/28 - Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object - Details providing acoustic coupling

27.

GUIDING DEVICE AND ASSOCIATED SYSTEM

      
Application Number 18380439
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Labetski, Dzmitry
  • Berendsen, Christianus Wilhelmus Johannes
  • Duarte Rodrigues Nunes, Rui Miguel
  • Ershov, Alexander Igorevich
  • Feenstra, Kornelis Frits
  • Fomenkov, Igor Vladimirovich
  • Hummler, Klaus Martin
  • Johnkadaksham, Arun
  • Kraushaar, Matthias
  • Laforge, Andrew David
  • Langlois, Marc Guy
  • Loginov, Maksim
  • Ma, Yue
  • Mojab, Seyedmohammad
  • Nadir, Kerim
  • Shatalov, Alexander
  • Stewart, John Tom
  • Tegenbosch, Henricus Gerardus
  • Xia, Chunguang

Abstract

An extreme ultraviolet radiation (EUV) source, including: a vessel having an inner vessel wall and an intermediate focus (IF) region; an EUV collector disposed inside the vessel, the EUV collector including a reflective surface configured to reflect EUV radiation toward the intermediate focus region, the reflective surface configured to directionally face the IF region of the vessel; a showerhead disposed along at least a portion of the inner vessel wall, the showerhead including a plurality of nozzles configured to introduce gas into the vessel; and one or more exhausts configured to remove gas introduced into the vessel, the one or more exhausts being oriented along at least a portion of the inner vessel wall so that the gas is caused to flow away from the EUV collector.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

28.

CHARGED PARTICLE OPTICAL DEVICE, OBJECTIVE LENS ASSEMBLY, DETECTOR, DETECTOR ARRAY, AND METHODS

      
Application Number 18513481
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor Mangnus, Albertus Victor Gerardus

Abstract

The disclosed embodiments provide a various techniques for detecting secondary charged particles and backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, using mirror detector arrays, using multiple detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path

29.

CHARGED PARTICLE DEVICE AND METHOD

      
Application Number 18517642
Status Pending
Filing Date 2023-11-22
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor Mangnus, Albertus Victor Gerardus

Abstract

The present disclosure provides a charged particle optical device for a charged particle system. The device projects an array of charged particle beams towards a sample. The device comprises a control lens array to control a parameter of the array of beams; and an objective lens array to project the array of beams onto the sample, the objective lens array being down beam of the control lens. The objective lens array comprises: an upper electrode; and a lower electrode arrangement that comprises an up-beam electrode and a down-beam electrode. The device is configured to apply an upper potential to the upper electrode, an up-beam potential to the up-beam electrode and a down-beam potential to the down-beam electrode. The potentials are controlled to control the landing energy of the beams on the sample and. to maintain focus of the beams on the sample at the landing energies.

IPC Classes  ?

  • H01J 37/05 - Electron- or ion-optical arrangements for separating electrons or ions according to their energy
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/21 - Means for adjusting the focus

30.

TARGET DELIVERY SYSTEM

      
Application Number 18512264
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Vaschenko, Georgiy Olegovich
  • Rollinger, Bob

Abstract

A target delivery system for an extreme ultraviolet (EUV) light source is disclosed. The system includes: a conduit including an orifice configured to fluidly couple to a reservoir; an actuator configured to mechanically couple to the conduit such that motion of the actuator is transferred to the conduit; and a control system coupled to the actuator, the control system being configured to: determine an indication of pressure applied to target material in the reservoir, and control the motion of the actuator based on the determined indication of applied pressure. Moreover, techniques for operating a supply system are disclosed. For example, one or more characteristics of the supply system are determined, and an actuator that is mechanically coupled to the supply system is controlled based on the one or more determined characteristics such that an orifice of the supply system remains substantially free of material damage during operational use.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

31.

Transport System Having a Magnetically Levitated Transportation Stage

      
Application Number 18515952
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner
  • Massachusetts Institute of Technology (USA)
  • ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhou, Lei
  • Trumper, David L.
  • Gunawardana, Ruvinda

Abstract

A reticle transport system having a magnetically levitated transportation stage is disclosed. Such a system may be suitable for use in vacuum environments, for example, ultra-clean vacuum environments. A magnetic levitated linear motor functions to propel the transportation stage in a linear direction along a defined axis of travel and to magnetically levitate the transportation stage

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors

32.

DATA PROCESSING DEVICE AND METHOD, CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18516020
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wieland, Marco Jan-Jaco
  • Kuiper, Vincent Sylvester

Abstract

A data processing device for detecting defects in sample images generated by a charged particle assessment system, the device comprising: an input module, a filter module, a reference image module and a comparator. The input module is configured to receive a sample image from the charged particle assessment system. The filter module is configured to apply a filter to the sample image to generate a filtered sample image. The reference image module is configured to provide a reference image based on one or more source images. The comparator is configured to compare the filtered sample image to the reference image so as to detect defects in the sample image.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

33.

A METHOD AND SYSTEM FOR PREDICTING ABERRATIONS IN A PROJECTION SYSTEM

      
Application Number 18262511
Status Pending
Filing Date 2022-01-05
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van De Wal, Marinus Maria Johannes
  • Van Berkel, Koos
  • Dolk, Victor Sebastiaan
  • Thissen, Stijn Clyde Natalia
  • Schneiders, Mauritius Gerardus Elisabeth
  • Koevoets, Adrianus Hendrik

Abstract

A method of predicting thermally induced aberrations of a projection system for projecting a radiation beam, the method comprising: calculating an irradiance profile for at least one optical element of the projection system from a power and illumination source pupil of the radiation beam, estimating a temperature distribution as a function of time in the at least one optical element of the projection system using the calculated irradiance profile for the at least one optical element of the projection system; calculating the thermally induced aberrations of the projection system based on the estimated temperature distribution and a thermal expansion parameter map associated with the at least one optical element of the projection system, wherein the thermal expansion parameter map is a spatial map indicating spatial variations of thermal expansion parameters in the at least one optical element of the projection system or a uniform map.

IPC Classes  ?

  • G01M 11/02 - Testing optical properties
  • G01K 1/02 - Means for indicating or recording specially adapted for thermometers
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

34.

OPERATION METHODS OF 2D PIXELATED DETECTOR FOR AN APPARATUS WITH PLURAL CHARGED-PARTICLE BEAMS AND MAPPING SURFACE POTENTIALS

      
Application Number 18269532
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wang, Yongxin
  • Krupin, Oleg
  • Ren, Weiming
  • Hu, Xuerang
  • Liu, Xuedong

Abstract

A method of detecting charged particles may include detecting beam intensity as a primary charged particle beam moves along a first direction; acquiring a secondary beam spot projection pattern as the primary charged particle beam moves along a second direction; and determining a parameter of a secondary beam spot based on the acquired secondary beam spot projection pattern. A method of compensating for beam spot changes on a detector may include acquiring a beam spot projection pattern on the detector, determining a change of the beam spot projection pattern, and adjusting a parameter of a detector cell of the detector based on the change. Another method may be provided for forming virtual apertures with respect to detector cells of a detector.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

35.

MULTI-CHANNEL LIGHT SOURCE FOR PROJECTION OPTICS HEATING

      
Application Number 18273618
Status Pending
Filing Date 2021-12-30
First Publication Date 2024-03-07
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • ASML Holding N.V. (Netherlands)
Inventor
  • Van Bokhoven, Laurentius Johannes Adrianus
  • Ajgaonkar, Mahesh Upendra

Abstract

Systems, apparatuses, and methods are provided for heating a plurality of optical components. An example method can include receiving an input radiation beam from a radiation source. The example method can further include generating a plurality of output radiation beams based on the input radiation beam. The example method can further include transmitting the plurality of output radiation beams towards a plurality of heater head optics configured to heat the plurality of optical components. Optionally, the example method can further include controlling a respective power value, and realizing a flat-top far-field profile, of each of the plurality of output radiation beams.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

36.

SYSTEMS AND METHODS FOR THERMALLY CONDITIONING A WAFER IN A CHARGED PARTICLE BEAM APPARATUS

      
Application Number 18467642
Status Pending
Filing Date 2023-09-14
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van Heumen, Martijn Petrus Christianus
  • Gosen, Jeroen Gerard

Abstract

An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including a thermal conditioning station for preconditioning a temperature of a wafer is disclosed. The charged particle beam apparatus may scan the wafer to measure one or more characteristics of the structures on the wafer and analyze the one or more characteristics. The charged particle beam apparatus may further determine a temperature characteristic of the wafer based on the analysis of the one or more characteristics of the structure and adjust the thermal conditioning station based on the temperature characteristic.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

37.

ASSESSMENT SYSTEM, METHOD OF ASSESSING

      
Application Number 18506923
Status Pending
Filing Date 2023-11-10
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

Assessment systems and methods are disclosed. In one arrangement, charged particles are directed in sub-beams arranged in a multi-beam towards a sample. A plurality of control electrodes define a control lens array. Each control lens in the control lens array is aligned with a sub-beam path of a respective sub-beam of the multi-beam and configured to operate on the respective sub-beam. A plurality of objective electrodes define an objective lens array that directs the sub-beams onto a sample. Objective lenses are aligned with a sub-beam path aligned with a respective control lens. Selectable landing energies are implemented for a sub-beam of the multi-beam by applying corresponding potentials to the control electrodes and the objective electrodes. A controller is configured to select corresponding potentials so a spatial relationship between an image plane of the system and all control electrodes and objective electrodes is the same for each selectable landing energy.

IPC Classes  ?

  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

38.

TRAINING MACHINE LEARNING MODELS BASED ON PARTIAL DATASETS FOR DEFECT LOCATION IDENTIFICATION

      
Application Number 18267734
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Moin, Nabeel Noor
  • Lin, Chenxi
  • Zou, Yi

Abstract

A method and apparatus for training a defect location prediction model to predict a defect for a substrate location is disclosed. A number of datasets having data regarding process-related parameters for each location on a set of substrates is received. Some of the locations have partial datasets in which data regarding one or more process-related parameters is absent. The datasets are processed to generate multiple parameter groups having data for different sets of process-related parameters. For each parameter group, a sub-model of the defect location prediction model is created based on the corresponding set of process-related parameters and trained using data from the parameter group. A trained sub-model(s) may be selected based on process-related parameters available in a candidate dataset and a defect prediction may be generated for a location associated with the candidate dataset using the selected sub-model.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 20/20 - Ensemble learning

39.

DUAL FOCUS SOLUTON FOR SEM METROLOGY TOOLS

      
Application Number 18270707
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Bosch, Niels Johannes Maria
  • Wang, Xu
  • Hempenius, Peter Paul
  • Wang, Yongqiang
  • Butler, Hans
  • Wang, Youjin
  • Grasman, Jasper Hendrik
  • Sui, Jianzi
  • Chen, Tianming
  • Wu, Aimin

Abstract

There is provided a charged particle apparatus comprising: a particle beam generator, optics, a first and a second positioning device, both configured for positioning the substrate relative to the particle beam generator along its optical axis, and a controller configured for switching between a first operational mode and a second operational mode. The apparatus is configured, when operating in the first operational mode, for irradiating the substrate by the particle beam at a first landing energy of the particle beam and, when operating in the second operational mode, for irradiating the substrate at a second, different landing energy. When operating in the first operational mode, the second positioning device is configured to position the substrate relative to the particle beam generator at a first focus position of the particle beam and in the second operational mode, to position the substrate at a second, different focus position.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support

40.

DATA FILTER FOR SCANNING METROLOGY

      
Application Number 18280266
Status Pending
Filing Date 2022-02-21
First Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Caresio, Cristina
  • Kinyanjui, Tabitha Wangari
  • Rogachevskiy, Andrey Valerievich
  • Knarren, Bastiaan Andreas Wilhelmus Hubertus
  • Centeno, Raymund
  • Den Boer, Jan Arie
  • Trogrlic, Viktor

Abstract

A method of processing a data set including equispaced and/or non-equispaced data samples is disclosed. The method includes filtering of the data, wherein a kernel defined by a probability density function is convoluted over samples in the data set to perform a weighted average of the samples at a plurality of positions across the data set, and wherein a first order regression is applied to the filtered data to provide a processed data output.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

41.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18384791
Status Pending
Filing Date 2023-10-27
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

The embodiments of the present disclosure provide a charged particle assessment system comprising: a sample holder configured to hold a sample having a surface; a charged particle-optical device configured to project a charged particle beam towards the sample, the charged particle beam having a field of view corresponding to a portion of the surface of the sample, the charged particle-optical device having a facing surface facing the sample holder; and a projection assembly arranged to direct a light beam along a light path such that the light beam reflects off the facing surface up-beam, with respect to the light path, of being incident on the portion of the surface of the sample.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01J 37/244 - Detectors; Associated components or circuits therefor

42.

APPARATUS USING MULTIPLE BEAMS OF CHARGED PARTICLES

      
Application Number 18477213
Status Pending
Filing Date 2023-09-28
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Hu, Xuerang
  • Ren, Weiming
  • Liu, Xuedong
  • Chen, Zhong-Wei

Abstract

Disclosed herein is an apparatus comprising: a first electrically conductive layer, a second electrically conductive layer; a plurality of optics element s between the first electrically conductive layer and the second electrically conductive layer, wherein the plurality of optics elements are configured to influence a plurality of beams of charged particles; a third electrically conductive layer between the first electrically conductive layer and the second electrically conductive layer; and an electrically insulating layer physically connected to the optics elements, wherein the eclectically insulating layer is configured to electrically insulate the optics elements from the first electrically conductive layer, and the second electrically conductive layer.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes

43.

MODULAR AUTOENCODER MODEL FOR MANUFACTURING PROCESS PARAMETER ESTIMATION

      
Application Number 18259354
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Tiemersma, Bart Jacobus Martinus
  • Verheul, Nick
  • Dirks, Remco

Abstract

A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06N 3/0455 - Auto-encoder networks; Encoder-decoder networks
  • G06N 3/08 - Learning methods

44.

A METROLOGY APPARATUS AND A METROLOGY METHOD

      
Application Number 18268847
Status Pending
Filing Date 2021-12-16
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Raaymakers, Jeroen Arnoldus Leonardus Johannes
  • Lomans, Bram Antonius Gerardus
  • Den Boef, Arie Jeffrey
  • Butler, Hans

Abstract

A metrology apparatus for measuring a parameter of interest of a target on a substrate, the metrology apparatus including: m×n detectors, wherein m≥1 and n≥1; a first frame; and (n−1) second frames; and (m−1)×n intermediate frames, wherein each detector is connected to one of the intermediate or first or second frames via a primary positioning assembly; and each intermediate frame is connected to one of the first or second frames via a secondary positioning assembly.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

45.

AN INTERFEROMETER SYSTEM, POSITIONING SYSTEM, A LITHOGRAPHIC APPARATUS, A JITTER DETERMINATION METHOD, AND A DEVICE MANUFACTURING METHOD

      
Application Number 18269547
Status Pending
Filing Date 2021-12-12
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Jansen, Maarten Jozef

Abstract

An interferometer system includes an optics system configured to allow a first light beam to travel along a measurement path including a target, and a second light beam to travel along a fixed reference path excluding the target; and a signal generator configured to introduce a power-modulated optical signal in the measurement path or the reference path to determine jitter caused by components of the interferometer system downstream of the signal generator.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 9/02003 - Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using beat frequencies
  • G01B 9/02055 - Reduction or prevention of errors; Testing; Calibration

46.

METROLOGY METHODS AND APPARATUSES

      
Application Number 18270644
Status Pending
Filing Date 2021-12-23
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Theeuwes, Thomas
  • Wildenberg, Jochem Sebastiaan
  • Zhang, Lei
  • Van Ithersum, Ronald

Abstract

Disclosed is a method of determining a performance parameter or a parameter derived therefrom, the performance parameter being associated with a performance of a lithographic process for forming one or more structures on a substrate subject to the lithographic process. The method comprises obtaining a probability description distribution comprising a plurality of probability descriptions of the performance parameter, each probability description corresponding to a different position on the substrate and decomposing each probability description into a plurality of component probability descriptions to obtain a plurality of component probability description distributions. A component across-substrate-area model is determined for each of said plurality of component probability descriptions, which models its respective component probability description across a substrate area; and a value for said performance parameter or parameter derived therefrom is determined based on the component across-substrate-area models.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

47.

DATA-DRIVEN PREDICTION AND IDENTIFICATION OF FAILURE MODES BASED ON WAFER-LEVEL ANALYSIS AND ROOT CAUSE ANALYSIS FOR SEMICONDUCTOR PROCESSING

      
Application Number 18268924
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Xu, Huina
  • Matsushita, Yana
  • Hasan, Tanbir
  • Kou, Ren-Jay
  • Goel, Namita Adrianus
  • Li, Hongmei
  • Pisarenco, Maxim
  • Kooiman, Marleen
  • Batistakis, Chrysostomos
  • Onvlee, Johannes

Abstract

A method and apparatus for analyzing an input electron microscope image of a first area on a first wafer are disclosed. The method comprises obtaining a plurality of mode images from the input electron microscope image corresponding to a plurality of interpretable modes. The method further comprises evaluating the plurality of mode images, and determining, based on evaluation results, contributions from the plurality of interpretable modes to the input electron microscope image. The method also comprises predicting one or more characteristics in the first area on the first wafer based on the determined contributions. In some embodiments, a method and apparatus for performing an automatic root cause analysis based on an input electron microscope image of a wafer are also disclosed.

IPC Classes  ?

48.

MACHINE LEARNING-BASED SYSTEMS AND METHODS FOR GENERATING SYNTHETIC DEFECT IMAGES FOR WAFER INSPECTION

      
Application Number 18268953
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wang, Zhe
  • Yu, Liangjiang
  • Pu, Lingling

Abstract

An improved systems and methods for generating a synthetic defect image are disclosed. An improved method for generating a synthetic defect image comprises acquiring a machine learning-based generator model; providing a defect-free inspection image and a defect attribute combination as inputs to the generator model; and generating by the generator model, based on the defect-free inspection image, a predicted synthetic defect image with a predicted defect that accords with the defect attribute combination.

IPC Classes  ?

49.

MODULAR AUTOENCODER MODEL FOR MANUFACTURING PROCESS PARAMETER ESTIMATION

      
Application Number 18270074
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Tiemersma, Bart Jacobus Martinus
  • Onose, Alexandru
  • Verheul, Nick
  • Dirks, Remco

Abstract

A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 3/0455 - Auto-encoder networks; Encoder-decoder networks
  • G06N 3/0895 - Weakly supervised learning, e.g. semi-supervised or self-supervised learning

50.

METHOD FOR DETERMINING A FOCUS ACTUATION PROFILE FOR ONE OR MORE ACTUATORS OF A LITHOGRAPHIC EXPOSURE APPARATUS

      
Application Number 18270988
Status Pending
Filing Date 2021-12-24
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Gorp, Simon Hendrik Celine
  • Van Reenen, Stephan

Abstract

A method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus in control of a lithographic exposure process for exposure of an exposure area including at least two topographical levels. The method includes determining a continuous single focus actuation profile for the at least two topographical levels from an objective function including a per-level component operable to optimize a focus metric per topographical level for each of the at least two topographical levels.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

51.

SUPERCONTINUUM RADIATION SOURCE AND ASSOCIATED METROLOGY DEVICES

      
Application Number 18373421
Status Pending
Filing Date 2023-09-27
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bauerschmidt, Sebastian Thomas
  • Götz, Peter Maximilian
  • Uebel, Patrick Sebastian

Abstract

A supercontinuum radiation source including a modulator being operable to modulate pump laser radiation including a train of radiation pulses to provide modulated pump laser radiation, the modulation being such to selectively provide a burst of the pulses; and a hollow-core photonic crystal fiber being operable to receive the modulated pump laser radiation and excite a working medium contained within the hollow-core photonic crystal fiber so as to generate supercontinuum radiation.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • H01S 3/00 - Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
  • H01S 3/067 - Fibre lasers

52.

APPARATUS AND METHOD FOR DETERMINING THREE DIMENSIONAL DATA BASED ON AN IMAGE OF A PATTERNED SUBSTRATE

      
Application Number 18266792
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Houben, Tim
  • Huisman, Thomas Jarik
  • Pisarenco, Maxim
  • Middlebrooks, Scott Anderson
  • Batistakis, Chrysostomos
  • Cao, Yu

Abstract

A system, method, and apparatus for determining three-dimensional (3D) information of a structure of a patterned substrate. The 3D information can be determined using one or more models configured to generate 3D information (e.g., depth information) using only a single image of a patterned substrate. In a method, the model is trained by obtaining a pair of stereo images of a structure of a patterned substrate. The model generates, using a first image of the pair of stereo images as input, disparity data between the first image and a second image, the disparity data being indicative of depth information associated with the first image. The disparity data is combined with the second image to generate a reconstructed image corresponding to the first image. Further, one or more model parameters are adjusted based on the disparity data, the reconstructed image, and the first image.

IPC Classes  ?

  • G06T 7/593 - Depth or shape recovery from multiple images from stereo images
  • G06T 5/50 - Image enhancement or restoration by the use of more than one image, e.g. averaging, subtraction
  • G06T 7/13 - Edge detection

53.

ELECTRON OPTICAL COLUMN AND METHOD FOR DIRECTING A BEAM OF PRIMARY ELECTRONS ONTO A SAMPLE

      
Application Number 18269269
Status Pending
Filing Date 2021-11-29
First Publication Date 2024-02-15
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Osterberg, Mans Johan Bertil
  • Kanai, Kenichi

Abstract

Apparatus and methods for directing a beam of primary electrons along a primary beam path onto a sample are disclosed. In one arrangement, a beam separator diverts away from the primary beam path a beam of secondary electrons emitted from the sample along the primary beam path. A dispersion device is upbeam from the beam separator. The dispersion device compensates for dispersion induced in the primary beam by the beam separator. One or more common power supplies drive both the beam separator and the dispersion device.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/05 - Electron- or ion-optical arrangements for separating electrons or ions according to their energy
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/24 - Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for

54.

HOLLOW-CORE PHOTONIC CRYSTAL FIBER

      
Application Number 18271025
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Uebel, Patrick Sebastian
  • Bauerschmidt, Sebastian Thomas
  • Götz, Peter Maximilian

Abstract

A radiation source for generating broadband radiation, the source including an input assembly for providing input radiation and a hollow-core photonic crystal fiber configured to receive and confine the input radiation coupled into the fiber for generating broadband radiation through spectral broadening, wherein the input assembly includes a pump source configured to provide input radiation having an average power of at least 50 W, and wherein the hollow core photonic crystal fiber includes: a hollow core having a diameter of at least 35 μm; and a cladding region including a plurality of anti-resonant structures surrounding the hollow core, the anti-resonant structures including a wall portion having a wall thickness smaller than half the wavelength of the lower end of a wavelength range of the broadband radiation.

IPC Classes  ?

55.

DUAL-USE READ-OUT CIRCUITRY IN CHARGED PARTICLE DETECTION SYSTEM

      
Application Number 18257736
Status Pending
Filing Date 2021-12-13
First Publication Date 2024-02-15
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Sundermeyer, Jan Louis
  • Klein, Leonhard Martin
  • Oberst, Matthias
  • Neubauer, Harald Gert Helmut

Abstract

An improved readout circuit for a charged particle detector and a method for operating the readout circuit are disclosed. An improved circuit comprises an amplifier configured to receive a signal representing an output of a sensor layer and comprising a first input terminal and an output terminal, a capacitor connected between the first input terminal and the output terminal, and a resistor connected in parallel with the capacitor between the first input terminal and the output terminal. The circuit can be configured to operate in a first mode and a second mode. The capacitor can be adjustable using a capacitance value of the capacitor to enable control of a gain of the circuit operating in the first mode and control of a bandwidth of the circuit operating in the second mode.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

56.

OPTIMIZATION OF LITHOGRAPHIC PROCESS BASED ON BANDWIDTH AND SPECKLE

      
Application Number 18266246
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-08
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • Cymer, LLC (USA)
Inventor
  • Conley, Willard Earl
  • Hsu, Duan-Fu Stephen
  • Thornes, Joshua Jon
  • Baselmans, Johannes Jacobus Matheus

Abstract

A method for improving a lithographic process of imaging a portion of a design layout onto a substrate using a lithographic apparatus. The method includes computing a multi-variable cost function that is a function of: (i) a plurality of design variables that affect characteristics of the lithographic process and (ii) a radiation bandwidth of a radiation source of the lithographic apparatus; and reconfiguring one or more of the characteristics (e.g., EPE, image contrast, resist, etc.) of the lithographic process by adjusting one or more of the design variables (e.g., source, mask layout, bandwidth, etc.) until a termination condition is satisfied. The termination condition includes a speckle characteristic (e.g., a speckle contrast) maintained within a speckle specification associated with the radiation source and also maintaining an image contrast associated with the lithographic process within a desired range. The speckle characteristic being a function of the radiation bandwidth.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 7/20 - Exposure; Apparatus therefor

57.

METHODS FOR SAMPLE SCHEME GENERATION AND OPTIMIZATION

      
Application Number 18239814
Status Pending
Filing Date 2023-08-30
First Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Frisco, Pierluigi

Abstract

A method for sample scheme generation includes obtaining measurement data associated with a set of locations; analyzing the measurement data to determine statistically different groups of the locations; and configuring a sample scheme generation algorithm based on the statistically different groups. A method includes obtaining a constraint and/or a plurality of key performance indicators associated with a sample scheme across one or more substrates; and using the constraint and/or plurality of key performance indicators in a sample scheme generation algorithm including a multi-objective genetic algorithm. The locations may define one or more regions spanning a plurality of fields across one or more substrates and the analyzing the measurement data may include stacking across the spanned plurality of fields using different respective sub-sampling.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

58.

MONOLITHIC DETECTOR

      
Application Number 18258522
Status Pending
Filing Date 2021-12-10
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Oberst, Matthias
  • Neubauer, Harald Gert Helmut
  • Schweiger, Thomas

Abstract

A monolithic detector may be used in a charged particle beam apparatus. The detector may include a plurality of sensing elements formed on a first side of a semiconductor substrate, each of the sensing elements configured to receive charged particles emitted from a sample and to generate carriers in proportion to a first property of a received charged particle, and a plurality of signal processing components formed on a second side of the semiconductor substrate, the plurality of signal processing components being part of a system configured to determine a value that represents a second property of the received charged particle. The substrate may have a thickness in a range from about 10 to 30 μm. The substrate may include a region configured to insulate the plurality of sensing elements formed on the first side from the plurality of signal processing components formed on the second side.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

59.

CHARGE DISSIPATIVE RETICLE TABLE CLEANING RETICLE

      
Application Number 18266361
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Rizo Diago, Pedro Julian
  • Voevodkin, George Grigorievich
  • Ebert, Earl William

Abstract

A reticle stage cleaning apparatus for a reticle stage in a lithographic apparatus includes a substrate having a frontside and a backside opposite the frontside and a conductive layer disposed on the frontside of the substrate. The conductive layer is configured to contact the reticle stage to dissipate charge on the reticle stage and to remove particles on the reticle stage via an electrostatic field generated between the conductive layer and the reticle stage. The substrate can include a plurality of grooves and the conductive layer can be disposed on the frontside of the substrate and on a bottom surface of the plurality of grooves. The reticle stage cleaning apparatus can include a second conductive layer configured to remove particles on the reticle stage via a second electrostatic field and be disposed atop the conductive layer in the bottom surface of the plurality of grooves.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

60.

FULLY AUTOMATED SEM SAMPLING SYSTEM FOR E-BEAM IMAGE ENHANCEMENT

      
Application Number 18365134
Status Pending
Filing Date 2023-08-03
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhou, Wentian
  • Yu, Liangjiang
  • Wang, Teng
  • Pu, Lingling
  • Fang, Wei

Abstract

Disclosed herein is a method of automatically obtaining training images to train a machine learning model that improves image quality. The method may comprise analyzing a plurality of patterns of data relating to a layout of a product to identify a plurality of training locations on a sample of the product to use in relation to training the machine learning model. The method may comprise obtaining a first image having a first quality for each of the plurality of training locations, and obtaining a second image having a second quality for each of the plurality of training locations, the second quality being higher than the first quality. The method may comprise using the first image and the second image to train the machine learning model.

IPC Classes  ?

  • G06V 10/774 - Generating sets of training patterns; Bootstrap methods, e.g. bagging or boosting
  • G06T 7/00 - Image analysis
  • G06F 18/214 - Generating training patterns; Bootstrap methods, e.g. bagging or boosting
  • G06V 10/776 - Validation; Performance evaluation
  • G06V 10/98 - Detection or correction of errors, e.g. by rescanning the pattern or by human intervention; Evaluation of the quality of the acquired patterns

61.

INSPECTION METHOD AND APPARATUS, LITHOGRAPHIC APPARATUS, LITHOGRAPHIC PROCESSING CELL AND DEVICE MANUFACTURING METHOD

      
Application Number 18486811
Status Pending
Filing Date 2023-10-13
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Pellemans, Henricus Petrus Maria
  • Den Boef, Arie Jeffrey

Abstract

A scatterometer for measuring a property of a target on a substrate includes a radiation source, a detector, and a processor. The radiation source produces a radiated spot on the target. The scatterometer adjusts a position of the radiated spot along a first direction across the target and along a second direction that is at an angle with respect to the first direction. The detector receives radiation scattered by the target. The received radiation is associated with positions of the radiated spot on the target along at least the first direction. The detector generates measurement signals based on the positions of the radiated spot on the target. The processor outputs, based on the measurement signals, a single value that is representative of the property of the target. The processor also combines the measurement signals to output a combined signal and derives, based on the combined signal, the single value.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

62.

METHOD FOR CONTROLLING A MANUFACTURING PROCESS AND ASSOCIATED APPARATUSES

      
Application Number 18242842
Status Pending
Filing Date 2023-09-06
First Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ten Berge, Peter
  • Steen, Steven Erik
  • Smorenberg, Pieter Gerardus Jacobus
  • Elbattay, Khalid

Abstract

A method for controlling a process of manufacturing semiconductor devices, the method including: obtaining a first control grid associated with a first lithographic apparatus used for a first patterning process for patterning a first substrate; obtaining a second control grid associated with a second lithographic apparatus used for a second patterning process for patterning a second substrate; based on the first control grid and second control grid, determining a common control grid definition for a bonding step for bonding the first substrate and second substrate to obtain a bonded substrate; obtaining bonded substrate metrology data including data relating to metrology performed on the bonded substrate; and determining a correction for performance of the bonding step based on the bonded substrate metrology data, the determining a correction including determining a co-optimized correction for the bonding step and for the first patterning process and/or second patterning process.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

63.

METHODS OF INSPECTING SAMPLES WITH A BEAM OF CHARGED PARTICLES

      
Application Number 18484161
Status Pending
Filing Date 2023-10-10
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Tseng, Kuo-Feng
  • Dong, Zhonghua
  • Wang, Yixiang
  • Chen, Zhong-Wei

Abstract

Disclosed herein is an apparatus comprising: a source configured to emit charged particles, an optical system and a stage; wherein the stage is configured to support a sample thereon and configured to move the sample by a first distance in a first direction; wherein the optical system is configured to form probe spots on the sample with the charged particles; wherein the optical system is configured to move the probe spots by the first distance in the first direction and by a second distance in a second direction, simultaneously, while the stage moves the sample by the first distance in the first direction; wherein the optical system is configured to move the probe spots by the first distance less a width of one of the probe spots in an opposite direction of the first direction, after the stage moves the sample by the first distance in the first direction.

IPC Classes  ?

  • G01N 23/2206 - Combination of two or more measurements, at least one measurement being that of secondary emission, e.g. combination of secondary electron [SE] measurement and back-scattered electron [BSE] measurement
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 23/203 - Measuring back scattering

64.

CHARGED PARTICLE OPTICAL DEVICE, OBJECTIVE LENS ASSEMBLY, DETECTOR, DETECTOR ARRAY, AND METHODS

      
Application Number 18487946
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wieland, Marco Jan-Jaco
  • Mangnus, Albertus Victor Gerardus

Abstract

The embodiments of the present disclosure provide various techniques for detecting backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 23/203 - Measuring back scattering
  • H01J 37/10 - Lenses
  • H01J 37/244 - Detectors; Associated components or circuits therefor

65.

A SUBSTRATE COMPRISING A TARGET ARRANGEMENT, AND ASSOCIATED AT LEAST ONE PATTERNING DEVICE, LITHOGRAPHIC METHOD AND METROLOGY METHOD

      
Application Number 18258521
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Koolen, Armand Eugene Albert
  • Mathijssen, Simon Gijsbert Josephus
  • Lim, Hui Quan
  • Anderson, Amanda Elizabeth

Abstract

Disclosed is a method of measuring a target on a substrate comprising: illuminating a target with measurement radiation comprising at least a first wavelength, collecting the resultant scattered radiation within a collection numerical aperture; and determining a parameter of interest from said scattered radiation. The target comprises a mediator periodic structure and at least a first target periodic structure each in a respective different layer on the substrate, wherein a pitch of at least the mediator periodic structure is below a single diffraction limit defined by the collection numerical aperture and a wavelength of said measurement radiation, such that said scattered radiation comprises double diffracted radiation, said double diffracted radiation comprising radiation having undergone two sequential same-order diffractions of opposite sign.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

66.

FEATURE EXTRACTION METHOD FOR EXTRACTING FEATURE VECTORS FOR IDENTIFYING PATTERN OBJECTS

      
Application Number 18265431
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Li, Danying
  • Liu, Meng
  • Wuu, Jen-Yi
  • Sun, Rencheng
  • Wu, Cong
  • Xu, Dean

Abstract

An apparatus and method of feature extraction for identifying a pattern. An improved method includes obtaining data representative of a pattern instance, dividing the pattern instance into a plurality of zones, determining a representative characteristic of a zone of the plurality of zones, generating a representation of the pattern instance using a feature vector, wherein the feature vector includes an element corresponding to the representative characteristic, wherein the representative characteristic is indicative of a spatial distribution of one or more features of the zone. The method may also include classifying and/or selecting pattern instances based on the feature vector.

IPC Classes  ?

  • G06V 10/44 - Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components
  • G06T 7/11 - Region-based segmentation
  • G06V 10/764 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using classification, e.g. of video objects

67.

ELECTRON-OPTICAL DEVICE

      
Application Number 18486106
Status Pending
Filing Date 2023-10-12
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor Steenbrink, Stijn Wilem Herman Karel

Abstract

Disclosed herein is a charged-particle apparatus configured to inspect a sample with a charged-particle beam. The charged-particle apparatus comprises a detector assembly or an array of multipole elements. The charged-particle apparatus comprises an electronic device, a power source configured to output radiation, and a power converter configured to receive radiation from the power source, to convert the received radiation into electrical energy and to output the electrical energy to the electronic device. The power source is electrically isolated from the power converter.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

68.

METHOD OF METROLOGY AND ASSOCIATED APPARATUSES

      
Application Number 18265606
Status Pending
Filing Date 2021-12-02
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Davis, Timothy Dugan
  • Mathijssen, Simon Gijsbert Josephus
  • Bhattacharyya, Kaustuve
  • Goorden, Sebastianus Adrianus
  • Koolen, Armand Eugene Albert
  • Jeon, Sera
  • Lin, Shuo-Chun

Abstract

Disclosed is a method of metrology. The method comprises measuring at least one surrounding observable parameter relating to a surrounding signal contribution to a metrology signal which comprises a contribution to said metrology signal which is not attributable to at least one target being measured and determining a correction from said surrounding signal observable parameter. The correction is used to correct first measurement data relating to measurement of one or more targets using measurement radiation forming a measurement spot on one or more of said one or more targets which is larger than one of said targets.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

69.

TOPOLOGY-BASED IMAGE RENDERING IN CHARGED-PARTICLE BEAM INSPECTION SYSTEMS

      
Application Number 18267748
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Liang, Haoyi
  • Ma, Bing
  • Chen, Zhichao
  • Kea, Marc Jurian

Abstract

Systems and methods of image alignment are disclosed herein. The method of image alignment may comprise obtaining an image of a sample, obtaining information associated with a corresponding reference image, generating a modified rendered image by blurring a rendered image of the corresponding reference image such that a topology of the rendered image is substantially preserved, wherein a degree of blurring is based on a characteristic of the topology, and aligning the image of the sample with the blurred rendered image. The method may further comprise aligning the image of the sample with the corresponding reference image based on an alignment between the image of the sample and the blurred rendered image.

IPC Classes  ?

  • G06V 10/24 - Aligning, centring, orientation detection or correction of the image
  • G06T 3/40 - Scaling of a whole image or part thereof
  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/50 - Depth or shape recovery
  • G06T 7/62 - Analysis of geometric attributes of area, perimeter, diameter or volume
  • G06T 7/70 - Determining position or orientation of objects or cameras

70.

METHOD OF DETERMINING AT LEAST A TARGET LAYOUT AND ASSOCIATED METROLOGY APPARATUS

      
Application Number 18281614
Status Pending
Filing Date 2022-03-08
First Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Werkman, Roy
  • Wildenberg, Jochem Sebastiaan
  • Rijpstra, Manouk

Abstract

A method of optimizing a target layout for a patterning device and a sampling scheme for measuring the targets of the target layout exposed on a substrate, the method including co-optimizing the target layout and the sampling scheme to obtain an optimized target layout for the patterning device and an optimized sampling scheme for measuring the targets of the optimized target layout exposed on a substrate.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

71.

LITHOGRAPHY APPARATUS AND A METHOD OF MANUFACTURING A DEVICE

      
Application Number 18463667
Status Pending
Filing Date 2023-09-08
First Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Eummelen, Erik Henricus Egidius Catharina
  • Debougnoux, Frank
  • Cuypers, Koen
  • Lempens, Han Henricus Aldegonda
  • Polet, Theodorus Wilhelmus
  • Vieyra Salas, Jorge Alberto
  • Bombeeck, John Maria
  • Melman, Johannes Cornelis Paulus
  • Gattobigio, Giovanni Luca

Abstract

An immersion lithography apparatus controller configured to control a positioner to move a support table to follow an exposure route and to control a liquid confinement structure, the controller configured to: predict whether liquid will be lost from an immersion space during at least one motion of the route in which an edge of the object passes under an edge of the immersion space, and if liquid loss from the immersion space is predicted, modify the fluid flow such that a first fluid flow rate into or out of an opening at a leading edge of the liquid confinement structure is different to a second fluid flow rate into or out of an opening at a trailing edge of the liquid confinement structure during the motion of predicted liquid loss or a motion of the route subsequent to the motion of predicted liquid loss.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

72.

PELLICLE AND PELLICLE ASSEMBLY

      
Application Number 18220799
Status Pending
Filing Date 2023-07-11
First Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Vles, David Ferdinand
  • Ande, Chaitanya Krishna
  • De Groot, Antonius Franciscus Johannes
  • Giesbers, Adrianus Johannes Maria
  • Janssen, Johannes Joseph
  • Janssen, Paul
  • Klootwijk, Johan Hendrik
  • Knapen, Peter Simon Antonius
  • Kurganova, Evgenia
  • Meijer, Marcel Peter
  • Meijerink, Wouter Rogier
  • Nasalevich, Maxim Aleksandrovich
  • Notenboom, Arnoud Willem
  • Olsman, Raymond
  • Patel, Hrishikesh
  • Péter, Mária
  • Van Den Bosch, Gerrit
  • Van Den Einden, Wilhelmus Theodorus Anthonius Johannes
  • Van Der Zande, Willem Joan
  • Van Zwol, Pieter-Jan
  • Vermeulen, Johannes Petrus Martinus Bernardus
  • Voorthuijzen, Willem-Pieter
  • Wondergem, Hendrikus Jan
  • Zdravkov, Aleksandar Nikolov

Abstract

A pellicle assembly includes a pellicle frame defining a surface onto which a pellicle is, or to be, attached. The pellicle assembly includes one or more three-dimensional expansion structures that allow the pellicle to expand under stress. A pellicle assembly for a patterning device, the pellicle assembly includes one or more actuators for moving the pellicle assembly towards and way from the patterning device.

IPC Classes  ?

  • G03F 1/64 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material thereof

73.

METROLOGY SYSTEM AND COHERENCE ADJUSTERS

      
Application Number 18255261
Status Pending
Filing Date 2021-12-02
First Publication Date 2024-01-25
Owner
  • ASML Netherlands B.V. (Netherlands)
  • ASML Holding N.V. (Netherlands)
Inventor
  • Sokolov, Sergei
  • Huisman, Simon Reinald
  • Lian, Jin
  • Goorden, Sebastianus Adrianus
  • Eralp, Muhsin
  • Pellemans, Henricus Petrus Maria
  • Kreuzer, Justin Lloyd

Abstract

A metrology system (400) includes a multi-source radiation system. The multi-source radiation system includes a waveguide device (502) and the multi-source radiation system is configured to generate one or more beams of radiation. The metrology system (400) further includes a coherence adjuster (500) including a multimode waveguide device (504). The multimode waveguide device (504) includes an input configured to receive the one or more beams of radiation from the multi-source radiation system (514) and an output (518) configured to output a coherence adjusted beam of radiation for irradiating a target (418). The metrology system (400) further includes an actuator (506) coupled to the waveguide device (502) and configured to actuate the waveguide device (502) so as to change an impingement characteristic of the one or more beams of radiation at the input of the multimode waveguide device (504).

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

74.

METROLOGY METHOD AND APPARATUS AND COMPUTER PROGRAM

      
Application Number 18004555
Status Pending
Filing Date 2021-05-27
First Publication Date 2024-01-25
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Mathijssen, Simon Gijsbert Josephus
  • Tinnemans, Patricius Aloysius Jacobus
  • Den Boef, Arie Jeffrey
  • Bhattacharyya, Kaustuve
  • Rehman, Samee Ur

Abstract

Disclosed is a method of improving a measurement of a parameter of interest. The method comprises obtaining metrology data comprising a plurality of measured values of the parameter of interest, relating to one or more targets on a substrate, each measured value relating to a different measurement combination of a target of said one or more targets and a measurement condition used to measure that target and asymmetry metric data relating to asymmetry for said one or more targets. A respective relationship is determined for each of said measurement combinations relating a true value for the parameter of interest to the asymmetry metric data, based on an assumption that there is a common true value for the parameter of interest over said measurement combinations. These relationships are used to improve a measurement of the parameter of interest.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

75.

COMPONENT FOR USE IN A LITHOGRAPHIC APPARATUS, METHOD OF PROTECTING A COMPONENT AND METHOD OF PROTECTING TABLES IN A LITHOGRAPHIC APPARATUS

      
Application Number 18375281
Status Pending
Filing Date 2023-09-29
First Publication Date 2024-01-25
Owner ASML Netherlands B.V. (Netherlands)
Inventor Van De Kerkhof, Marcus Adrianus

Abstract

A method of protecting a component of a lithographic apparatus, the method including the steps of: providing a protective cover which is shaped to protect at least part of said component, the protective cover having a contact surface which is arranged to adhere to a first surface of at least part of said lithographic apparatus or said component; and bringing the protective cover into proximity with the component so as to cause the contact surface to adhere to the lithographic apparatus or said component and remain adhered without the application of external force. It is also provided a patterning device for use in a lithographic apparatus and a lithographic apparatus.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 1/38 - Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
  • G03F 1/82 - Auxiliary processes, e.g. cleaning
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

76.

SUBSTRATE HOLDER AND METHOD OF MANUFACTURING A SUBSTRATE HOLDER

      
Application Number 18453594
Status Pending
Filing Date 2023-08-22
First Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Lafarre, Raymond Wilhelmus Louis
  • Donders, Sjoerd Nicolaas Lambertus
  • Ten Kate, Nicolaas
  • Dziomkina, Nina Vladimirovna
  • Karade, Yogesh Pramod
  • Rodenburg, Elisabeth Corinne

Abstract

An object holder for a lithographic apparatus has a main body having a surface. A plurality of burls to support an object are formed on the surface or in apertures of a thin-film stack. At least one of the burls is formed by laser-sintering. At least one of the burls formed by laser-sintering may be a repair of a damaged burl previously formed by laser-sintering or another method.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • B23K 26/354 - Working by laser beam, e.g. welding, cutting or boring for surface treatment by melting
  • B23K 26/342 - Build-up welding
  • B22F 10/00 - Additive manufacturing of workpieces or articles from metallic powder
  • B22F 10/20 - Direct sintering or melting
  • B23Q 3/18 - Devices holding, supporting, or positioning, work or tools, of a kind normally removable from the machine for positioning only
  • G03F 7/20 - Exposure; Apparatus therefor
  • B05D 3/06 - Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
  • B05D 5/00 - Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
  • B33Y 10/00 - Processes of additive manufacturing
  • B33Y 80/00 - Products made by additive manufacturing
  • B22F 7/06 - Manufacture of composite layers, workpieces, or articles, comprising metallic powder, by sintering the powder, with or without compacting of composite workpieces or articles from parts, e.g. to form tipped tools

77.

ELECTRON-OPTICAL SYSTEM AND METHOD OF OPERATING AN ELECTRON-OPTICAL SYSTEM

      
Application Number 18478771
Status Pending
Filing Date 2023-09-29
First Publication Date 2024-01-25
Owner ASML Netherlands B.V. (Netherlands)
Inventor Steenbrink, Stijn Wilem Herman Karel

Abstract

Electron-optical systems comprising a particle trap and methods of operating electron-optical systems using a particle trap are disclosed. In one arrangement, a stage supports a sample. An objective lens arrangement projects electrons towards the sample along an electron-beam path. A particle trap comprises an electrode assembly radially outside of the objective lens arrangement and facing the sample. The electrode assembly draws a particle away from a surface of the sample and/or stage as the sample moves relative to the electron-beam path.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/10 - Lenses
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes

78.

METHODS AND APPARATUS FOR OBTAINING DIAGNOSTIC INFORMATION RELATING TO AN INDUSTRIAL PROCESS

      
Application Number 18232570
Status Pending
Filing Date 2023-08-10
First Publication Date 2024-01-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ypma, Alexander
  • Menger, Jasper
  • Deckers, David
  • Han, David
  • Koopman, Adrianus Cornelis Matheus
  • Lyulina, Irina
  • Middlebrooks, Scott Anderson
  • Van Haren, Richard Johannes Franciscus
  • Wildenberg, Jochem Sebastiaan

Abstract

In a lithographic process, product units such as semiconductor wafers are subjected to lithographic patterning operations and chemical and physical processing operations. Alignment data or other measurements are made at stages during the performance of the process to obtain object data representing positional deviation or other parameters measured at points spatially distributed across each unit. This object data is used to obtain diagnostic information by performing a multivariate analysis to decompose a set of vectors representing the units in the multidimensional space into one or more component vectors. Diagnostic information about the industrial process is extracted using the component vectors. The performance of the industrial process for subsequent product units can be controlled based on the extracted diagnostic information.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

79.

GRIPPER AND LITHOGRAPHIC APPARATUS COMPRISING THE GRIPPER

      
Application Number 18252491
Status Pending
Filing Date 2021-12-13
First Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Dongen, Paul
  • Van Beuzekom, Aart Adrianus

Abstract

A gripper configured to transport a substrate in a lithographic apparatus, the gripper including: a main body with one or more engagement portions for engaging with a surface of the substrate, wherein a part of the main body, that is overlapped by a region of a substrate when the one or more engagement portions are engaged with the substrate, has a plurality of openings that extend through the main body in a direction substantially perpendicular to the surface of the substrate.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

80.

METHOD AND SYSTEM FOR THE REMOVAL AND/OR AVOIDANCE OF CONTAMINATION IN CHARGED PARTICLE BEAM SYSTEMS

      
Application Number 18360731
Status Pending
Filing Date 2023-07-27
First Publication Date 2024-01-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Smits, Marc
  • Koning, Johan Joost
  • Lodewijk, Chris Franciscus Jessica
  • Mook, Hindrik Willem
  • Lattard, Ludovic

Abstract

A charged particle beam system is disclosed, comprising: a charged particle beam generator for generating a beam of charged particles; a charged particle optical column arranged in a vacuum chamber, wherein the charged particle optical column is arranged for projecting the beam of charged particles onto a target, and wherein the charged particle optical column comprises a charged particle optical element for influencing the beam of charged particles; a source for providing a cleaning agent; a conduit connected to the source and arranged for introducing the cleaning agent towards the charged particle optical element; A charged particle beam system is disclosed, comprising: a charged particle beam generator for generating a beam of charged particles; a charged particle optical column arranged in a vacuum chamber, wherein the charged particle optical column is arranged for projecting the beam of charged particles onto a target, and wherein the charged particle optical column comprises a charged particle optical element for influencing the beam of charged particles; a source for providing a cleaning agent; a conduit connected to the source and arranged for introducing the cleaning agent towards the charged particle optical element; wherein the charged particle optical element comprises: a charged particle transmitting aperture for transmitting and/or influencing the beam of charged particles, and at least one vent hole for providing a flow path between a first side and a second side of the charged particle optical element, A charged particle beam system is disclosed, comprising: a charged particle beam generator for generating a beam of charged particles; a charged particle optical column arranged in a vacuum chamber, wherein the charged particle optical column is arranged for projecting the beam of charged particles onto a target, and wherein the charged particle optical column comprises a charged particle optical element for influencing the beam of charged particles; a source for providing a cleaning agent; a conduit connected to the source and arranged for introducing the cleaning agent towards the charged particle optical element; wherein the charged particle optical element comprises: a charged particle transmitting aperture for transmitting and/or influencing the beam of charged particles, and at least one vent hole for providing a flow path between a first side and a second side of the charged particle optical element, wherein the vent hole has a cross section which is larger than a cross section of the charged particle transmitting aperture. A charged particle beam system is disclosed, comprising: a charged particle beam generator for generating a beam of charged particles; a charged particle optical column arranged in a vacuum chamber, wherein the charged particle optical column is arranged for projecting the beam of charged particles onto a target, and wherein the charged particle optical column comprises a charged particle optical element for influencing the beam of charged particles; a source for providing a cleaning agent; a conduit connected to the source and arranged for introducing the cleaning agent towards the charged particle optical element; wherein the charged particle optical element comprises: a charged particle transmitting aperture for transmitting and/or influencing the beam of charged particles, and at least one vent hole for providing a flow path between a first side and a second side of the charged particle optical element, wherein the vent hole has a cross section which is larger than a cross section of the charged particle transmitting aperture. Further, a method for preventing or removing contamination in the charged particle transmitting apertures is disclosed, comprising the step of introducing the cleaning agent while the beam generator is active.

IPC Classes  ?

  • B08B 7/04 - Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
  • H01J 37/02 - Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof - Details
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • B08B 17/02 - Preventing deposition of fouling or of dust
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/18 - Vacuum locks

81.

MACHINE LEARNING BASED IMAGE GENERATION OF AFTER-DEVELOPMENT OR AFTER-ETCH IMAGES

      
Application Number 18039483
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Pisarenco, Maxim
  • Batistakis, Chrysostomos
  • Middlebrooks, Scott Anderson

Abstract

A method for training a machine learning model includes obtaining a set of unpaired after-development (AD) images and after-etch (AE) images associated with a substrate. Each AD image in the set is obtained at a location on the substrate that is different from the location at which any of the AE images is obtained. The method further includes training the machine learning model to generate a predicted AE image based on the AD images and the AE images, wherein the predicted AE image corresponds to a location from which an input AD image of the AD images is obtained.

IPC Classes  ?

  • G06V 10/82 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
  • G06V 10/98 - Detection or correction of errors, e.g. by rescanning the pattern or by human intervention; Evaluation of the quality of the acquired patterns

82.

CHARGED-PARTICLE BEAM APPARATUS WITH BEAM-TILT AND METHODS THEREOF

      
Application Number 18256865
Status Pending
Filing Date 2021-11-17
First Publication Date 2024-01-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ren, Weiming
  • Liu, Xuedong
  • Hoque, Shahedul
  • Ji, Xiaoyu
  • Dillen, Hermanus Adrianus

Abstract

Systems and methods of imaging a sample using a tilted charged-particle beam. The apparatus may comprise a first deflector located between the charged-particle source and an objective lens and configured to deflect the charged-particle beam away from the primary optical axis; a second deflector located substantially at a focal plane of the objective lens and configured to deflect the charged-particle beam back towards the primary optical axis; and a third deflector located substantially at a principal plane of the objective lens, wherein the third deflector is configured to shift a wobbling center of the objective lens to an off-axis wobbling location, and wherein the first and the second deflectors are configured to deflect the charged-particle beam to pass through the off-axis wobbling location to land on a surface of a sample at a first landing location and having a beam-tilt angle.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path

83.

CHARGED-PARTICLE INSPECTION APPARATUS

      
Application Number 18021537
Status Pending
Filing Date 2021-08-16
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Yu, Dongchi
  • Wang, Erheng

Abstract

A load-lock system may include a chamber enclosing a supporting structure configured to support a wafer; a gas vent arranged at a ceiling of the chamber and configured to vent gas into the chamber with a flow rate of at least twenty normal liters per minute; and a plate fixed to the ceiling between the gas vent and the wafer.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/677 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for conveying, e.g. between different work stations

84.

METROLOGY METHOD AND ASSOCIATED METROLOGY AND LITHOGRAPHIC APPARATUSES

      
Application Number 18035008
Status Pending
Filing Date 2021-11-04
First Publication Date 2024-01-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Goorden, Sebastianus Adrianus
  • Mathijssen, Simon Gijsbert Josephus
  • Karssemeijer, Leendert Jan
  • Rijpstra, Manouk
  • Brinkhof, Ralph
  • Bhattacharyya, Kaustuve

Abstract

A method for a metrology process, the method includes obtaining first measurement data relating to a first set of measurement conditions and determining a first measurement recipe based on the first measurement data. At least one performance indicator is determined from one or more components of the first measurement data obtained from a component analysis or statistical decomposition. Alternatively, at least one performance indicator is determined from a comparison of one or more first measurement values relating to the first measurement recipe and one or more second measurement values relating to a second measurement recipe, where second measurement recipe is different to the first measurement data and relates a second set of measurement conditions, the second set of measurement conditions being different to the first set of measurement conditions.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

85.

ELECTROSTATIC CLAMP

      
Application Number 18252294
Status Pending
Filing Date 2021-10-14
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van Eden, Gustaaf Galein
  • Nguyen, Cung Vuong
  • Makarenko, Ksenia Sergeevna

Abstract

An electrostatic clamp for holding an object by electrostatic force is disclosed. The electrostatic clamp comprises a dielectric member having a plurality of conductive burls extending from a surface to define a plane in which the object is held, and a conductive element extending between and connecting the plurality of burls. The conductive element is disposed within one or more trenches formed on the surface of the dielectric member. Also disclosed is a method of manufacturing the electrostatic clamp.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

86.

METROLOGY METHOD FOR MEASURING AN ETCHED TRENCH AND ASSOCIATED METROLOGY APPARATUS

      
Application Number 18255310
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Mathijssen, Simon Gijsbert Josephus
  • Den Boef, Arie Jeffrey

Abstract

Disclosed is method of determining at least one homogeneity metric describing homogeneity of an etched trench on a substrate formed by a lithographic manufacturing process. The method comprises obtaining one or more images of the etched trench, wherein each of said one or more images comprises a spatial representation of one or more parameters of scattered radiation as detected by a detector or camera following scattering and/or diffraction from the etched trench; and measuring homogeneity along the length of the etched trench on said one or more images to determine said at least one homogeneity metric.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

87.

COMPACT DUAL PASS INTERFEROMETER FOR A PLANE MIRROR INTERFEROMETER

      
Application Number 18023482
Status Pending
Filing Date 2021-07-20
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor Jansen, Maarten Jozef

Abstract

A compact dual pass interferometer for a plane mirror interferometer configured to receive an input radiation beam from a light source. An optical component has a partially reflective surface arranged to reflect a first portion of the input radiation beam to follow a first optical path directed towards an output terminal and further arranged to transmit a second portion of the input radiation beam to follow a second optical path, directed towards a first location on a reflective target surface and back to the partially reflective surface, then to a second location on the reflective target surface and back to the partially reflective surface, whereupon the second optical path is directed through the partially reflective surface to be recombined with the first optical path to provide a recombined optical path configured to provide an output radiation beam. The output terminal configured to deliver the output radiation beam to a detector.

IPC Classes  ?

  • G01B 9/02018 - Multipass interferometers, e.g. double-pass
  • G02B 3/00 - Simple or compound lenses
  • G02B 26/00 - Optical devices or arrangements for the control of light using movable or deformable optical elements

88.

HIGH FORCE LOW VOLTAGE PIEZOELECTRIC MICROMIRROR ACTUATOR

      
Application Number 18038601
Status Pending
Filing Date 2021-11-12
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Haspeslagh, Luc Roger Simonne
  • Pandey, Nitesh
  • Van Der Woord, Ties Wouter
  • Yegen, Halil Gökay
  • Brondani Torri, Guilherme
  • Goorden, Sebastianus Adrianus
  • Klein, Alexander Ludwig
  • Overkamp, Jim Vincent
  • Osorio Oliveros, Edgar Alberto

Abstract

A micromirror array comprises a substrate, a plurality of mirrors for reflecting incident light and, for each mirror of the plurality of mirrors, at least one multilayer piezoelectric actuator for displacing the mirror, wherein the at least one multilayer piezoelectric actuator is connected to the substrate, and wherein the at least one multilayer piezoelectric actuator comprises a plurality of piezoelectric layers of piezoelectric material interleaved with a plurality of electrode layers to form a stack of layers. Also disclosed is a method of forming such a micromirror array. The micromirror array may be used in a programmable illuminator. The programmable illuminator may be used in a lithographic apparatus and/or in an inspection and/or metrology apparatus.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
  • H02N 2/10 - Electric machines in general using piezoelectric effect, electrostriction or magnetostriction producing rotary motion, e.g. rotary motors
  • H02N 2/00 - Electric machines in general using piezoelectric effect, electrostriction or magnetostriction
  • H10N 30/50 - Piezoelectric or electrostrictive devices having a stacked or multilayer structure
  • H10N 30/05 - Manufacture of multilayered piezoelectric or electrostrictive devices, or parts thereof, e.g. by stacking piezoelectric bodies and electrodes

89.

METHOD OF DETERMINING CONTROL PARAMETERS OF A DEVICE MANUFACTURING PROCESS

      
Application Number 18229984
Status Pending
Filing Date 2023-08-03
First Publication Date 2024-01-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tel, Wim Tjibbo
  • Maslow, Mark John
  • Van Ingen Schenau, Koenraad
  • Warnaar, Patrick
  • Slachter, Abraham
  • Anunciado, Roy
  • Van Gorp, Simon Hendrik Celine
  • Staals, Frank
  • Jochemsen, Marinus

Abstract

A method for determining a metric of a feature on a substrate obtained by a semiconductor manufacturing process involving a lithographic process, the method including: obtaining an image of at least part of the substrate, wherein the image includes at least the feature; determining a contour of the feature from the image; determining a plurality of segments of the contour; determining respective weights for each of the plurality of segments; determining, for each of the segments, an image-related metric; and determining the metric of the feature in dependence on the weights and the calculated image-related metric of each of the segments.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06F 30/20 - Design optimisation, verification or simulation

90.

METHOD AND APPARATUS TO DETERMINE A PATTERNING PROCESS PARAMETER

      
Application Number 18230115
Status Pending
Filing Date 2023-08-03
First Publication Date 2024-01-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Leest, Adriaan Johan
  • Tsiatmas, Anagnostis
  • Hinnen, Paul Christiaan
  • Mc Namara, Elliott Gerard
  • Verma, Alok
  • Theeuwes, Thomas
  • Cramer, Hugo Augustinus Joseph

Abstract

A method of determining a parameter of a patterning process, the method including: obtaining a detected representation of radiation redirected by a structure having geometric symmetry at a nominal physical configuration, wherein the detected representation of the radiation was obtained by illuminating a substrate with a radiation beam such that a beam spot on the substrate was filled with the structure; and determining, by a hardware computer system, a value of the patterning process parameter based on optical characteristic values from an asymmetric optical characteristic distribution portion of the detected radiation representation with higher weight than another portion of the detected radiation representation, the asymmetric optical characteristic distribution arising from a different physical configuration of the structure than the nominal physical configuration.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

91.

TRAINING METHODS FOR MACHINE LEARNING ASSISTED OPTICAL PROXIMITY ERROR CORRECTION

      
Application Number 18233365
Status Pending
Filing Date 2023-08-14
First Publication Date 2024-01-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Su, Jing
  • Lu, Yen-Wen
  • Luo, Ya

Abstract

A method including: obtaining data based an optical proximity correction for a spatially shifted version of a training design pattern; and training a machine learning model configured to predict optical proximity corrections for design patterns using data regarding the training design pattern and the data based on the optical proximity correction for the spatially shifted version of the training design pattern.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 20/00 - Machine learning
  • G06N 5/047 - Pattern matching networks; Rete networks

92.

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

      
Application Number 18345956
Status Pending
Filing Date 2023-06-30
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Li, Shuai
  • Ren, Weiming
  • Liu, Xuedong
  • Dou, Juying
  • Hu, Xuerang
  • Chen, Zhongwei

Abstract

A multi-beam apparatus for observing a sample with high resolution and high throughput and in flexibly varying observing conditions is proposed. The apparatus uses a movable collimating lens to flexibly vary the currents of the plural probe spots without influencing the intervals thereof, a new source-conversion unit to form the plural images of the single electron source and compensate off-axis aberrations of the plural probe spots with respect to observing conditions, and a pre-beamlet-forming means to reduce the strong Coulomb effect due to the primary-electron beam.

IPC Classes  ?

  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/10 - Lenses

93.

METHOD AND APPARATUS FOR FORMING A PATTERNED LAYER OF CARBON, METHOD OF FORMING A PATTERNED LAYER OF MATERIAL

      
Application Number 18475068
Status Pending
Filing Date 2023-09-26
First Publication Date 2024-01-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Castellanos Ortega, Sonia
  • Verhoeven, Jan
  • Frenken, Joost Wilhelmus Maria
  • Antonov, Pavlo
  • Ten Kate, Nicolaas
  • Lugier, Olivier Christian Maurice

Abstract

Methods and apparatus for forming a patterned layer of carbon are disclosed. In one arrangement, a selected portion of a surface of a solid structure is irradiated with extreme ultraviolet radiation in the presence of a carbon-containing precursor. The radiation interacts with the solid structure in the selected portion to cause formation of a layer of carbon in the selected portion from the carbon-containing precursor. The layer of carbon is formed in a pattern defined by the selected portion.

IPC Classes  ?

  • C23C 16/04 - Coating on selected surface areas, e.g. using masks
  • C23C 16/26 - Deposition of carbon only
  • C23C 16/48 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation

94.

APPARATUS AND METHODS TO GENERATE DEBLURRING MODEL AND DEBLUR IMAGE

      
Application Number 18031601
Status Pending
Filing Date 2021-09-27
First Publication Date 2024-01-04
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Lei, Hairong
  • Fang, Wei

Abstract

Described herein is a method, and system for training a deblurring model and deblurring an image (e.g., SEM image) of a patterned substrate using the deblurring model and depth data associated with multiple layers of the patterned substrate. The method includes obtaining, via a simulator using a target pattern as input, a simulated image of the substrate, the target pattern comprising a first target feature to be formed on a first layer, and a second target feature to be formed on a second layer located below the first layer; determining, based on depth data associated with multiple layers of the substrate, edge range data for features of the substrate; and adjusting, using the simulated image and the edge range data associated with the target pattern as training data, parameters of a base model to generate the deblurring model to a deblur image of a captured image.

IPC Classes  ?

95.

HIGH ACCURACY TEMPERATURE-COMPENSATED PIEZORESISTIVE POSITION SENSING SYSTEM

      
Application Number 18037957
Status Pending
Filing Date 2021-11-08
First Publication Date 2024-01-04
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Haspeslagh, Luc Roger Simonne
  • Pandey, Nitesh
  • Van Der Woord, Ties Wouter
  • Yegen, Halil Gökay
  • Goorden, Sebastianus Adrianus
  • Humblet, Alexis
  • Klein, Alexander Ludwig
  • Overkamp, Jim Vincent
  • Brondani Torri, Guilherme
  • Osorio Oliveros, Edgar Alberto

Abstract

A micromirror array comprises a substrate, a plurality of mirrors for reflecting incident light and, for each mirror of the plurality of mirrors, at least one piezoelectric actuator for displacing the mirror, wherein the at least one piezoelectric actuator is connected to the substrate. The micromirror array further comprises one or more pillars connecting the mirror to the at least one piezoelectric actuator. Also disclosed is a method of forming such a micromirror array. The micromirror array may be used in a programmable illuminator. The programmable illuminator may be used in a lithographic apparatus and/or in an inspection and/or metrology apparatus.

IPC Classes  ?

  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • B81B 7/02 - Microstructural systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems (MEMS)

96.

LITHOGRAPHIC METHOD

      
Application Number 18039484
Status Pending
Filing Date 2021-11-29
First Publication Date 2024-01-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Nikolski, Pioter
  • Meijerink, Rick Jeroen

Abstract

A method of forming a pattern on a substrate using a lithographic apparatus provided with a patterning device and a projection system having chromatic aberrations, the method including: providing a radiation beam having a plurality of wavelength components to the patterning device; forming an image of the patterning device on the substrate using the projection system to form the pattern, wherein a position of the pattern is dependent on a wavelength of the radiation beam due to the chromatic aberrations; and controlling a spectrum of the radiation beam to control the position of the pattern.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

97.

METHODS AND APPARATUS FOR PROVIDING A BROADBAND LIGHT SOURCE

      
Application Number 18039487
Status Pending
Filing Date 2021-11-25
First Publication Date 2024-01-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Uebel, Patrick Sebastian
  • Pongers, Willem Richard
  • Bauerschmidt, Sebastian Thomas
  • Gotz, Peter Maximilian
  • Van Den Oetelaar, Ludovicus

Abstract

A radiation source for generating broadband radiation, the source including: a pump source having only one single fiber amplifier configured to generate pump radiation including a plurality of radiation pulses having a pulse energy of 2.5 μJ or less; and a hollow core fiber having a hollow core region and a cladding surrounding the hollow core region, the hollow core region having a pressurized gas therein, and the hollow core fiber being arranged to receive, at an input end, the pump radiation, wherein the hollow core region is dimensioned such that the radiation pulses have a soliton order higher than 16 so as to broaden a spectrum of the pump radiation using modulation instability as the pump radiation propagates along the hollow-core fiber, for providing output broadband radiation from an output end of the hollow core fiber.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02F 1/35 - Non-linear optics

98.

MEMBRANE FOR EUV LITHOGRAPHY

      
Application Number 18227833
Status Pending
Filing Date 2023-07-28
First Publication Date 2024-01-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Nasalevich, Maxim Aleksandrovich
  • Abegg, Erik Achilles
  • Banerjee, Nirupam
  • Blauw, Michiel Alexander
  • Gertruda Brouns, Derk Servatius
  • Janssen, Paul
  • Kruizinga, Matthias
  • Lenderink, Egbert
  • Maxim, Nicolae
  • Nikipelov, Andrey
  • Notenboom, Arnoud Willem
  • Piliego, Claudia
  • Péter, Mária
  • Rispens, Gijsbert
  • Schuh, Nadja
  • Van De Kerkhof, Marcus Adrianus
  • Van Der Zande, Willem Joan
  • Van Zwol, Pieter-Jan
  • Verburg, Antonius Willem
  • Bernardus Vermeulen, Johannes Petrus Martinus
  • Vles, David Ferdinand
  • Voorthuijzen, Willem-Pieter
  • Zdravkov, Aleksandar Nikolov

Abstract

Membranes for EUV lithography are disclosed. In one arrangement, a membrane has a stack having layers in the following order: a first capping layer including an oxide of a first metal; a base layer including a compound having a second metal and an additional element selected from the group consisting of Si, B, C and N; and a second capping layer including an oxide of a third metal, wherein the first metal is different from the second metal and the third metal is the same as or different from the first metal.

IPC Classes  ?

  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 1/82 - Auxiliary processes, e.g. cleaning
  • G02B 5/20 - Filters
  • G02B 5/28 - Interference filters

99.

METHOD AND SYSTEM TO MONITOR A PROCESS APPARATUS

      
Application Number 18233263
Status Pending
Filing Date 2023-08-11
First Publication Date 2024-01-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tel, Wim Tjibbo
  • Maslow, Mark John
  • Staals, Frank
  • Hinnen, Paul Christiaan

Abstract

A method involving determining a contribution that one or more process apparatuses make to a characteristic of a substrate after the substrate has been processed according to a patterning process by the one or more process apparatuses by removing from values of the characteristic of the substrate a contribution of a lithography apparatus to the characteristic and a contribution of one or more pre-lithography process apparatuses to the characteristic.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

100.

FLOOD COLUMN AND CHARGED PARTICLE APPARATUS

      
Application Number 18369619
Status Pending
Filing Date 2023-09-18
First Publication Date 2024-01-04
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Otten, Christiaan
  • Teunissen, Christan
  • Scheepers, Paul Hendricus
  • Meijer, Joseph Reinier

Abstract

Disclosed herein is a flood column for projecting a charged particle flooding beam along a beam path towards a sample to flood the sample with charged particles prior to assessment of the flooded sample using an assessment column, the flood column comprising: an anchor body arranged along the beam path; a lens arrangement arranged in a down-beam part of the flood column; and a lens support arranged between the anchor body and the lens arrangement; wherein the lens support is configured to position the lens arrangement and the anchor body relative to each other; the lens support comprises an electrical insulator; and the lens support is in the direct line of sight of at least a portion of the beam path in the down-beam part.

IPC Classes  ?

  • H01J 37/12 - Lenses electrostatic
  • H01J 37/02 - Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof - Details
  • H01J 37/09 - Diaphragms; Shields associated with electron- or ion-optical arrangements; Compensation of disturbing fields
  1     2     3     ...     40        Next Page