ASML Netherlands B.V.

Netherlands

Back to Profile

1-100 of 6,784 for ASML Netherlands B.V. Sort by
Query
Aggregations
IP Type
        Patent 6,659
        Trademark 125
Jurisdiction
        United States 3,949
        World 2,742
        Canada 56
        Europe 37
Date
New (last 4 weeks) 55
2024 March (MTD) 32
2024 February 63
2024 January 73
2023 December 36
See more
IPC Class
G03F 7/20 - Exposure; Apparatus therefor 3,964
G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically 692
H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma 495
G03B 27/42 - Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original 431
G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor 423
See more
NICE Class
09 - Scientific and electric apparatus and instruments 111
42 - Scientific, technological and industrial services, research and design 62
07 - Machines and machine tools 57
37 - Construction and mining; installation and repair services 27
40 - Treatment of materials; recycling, air and water treatment, 18
See more
Status
Pending 670
Registered / In Force 6,114
  1     2     3     ...     68        Next Page

1.

METHOD FOR GENERATING PATTERNING DEVICE PATTERN AT PATCH BOUNDARY

      
Application Number 18382822
Status Pending
Filing Date 2023-10-23
First Publication Date 2024-03-21
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhang, Quan
  • Cho, Yong-Ju
  • Zhu, Zhangnan
  • Huang, Boyang
  • Chen, Been-Der

Abstract

A method for generating a mask pattern to be employed in a patterning process. The method including obtaining (i) a first feature patch including a first polygon portion of an initial mask pattern, and (ii) a second feature patch including a second polygon portion of the initial mask pattern; adjusting the second polygon portion at a patch boundary between the first feature patch and the second feature patch such that a difference between the first polygon portion and the second polygon portion at the patch boundary is reduced; and combining the first polygon portion and the adjusted second polygon portion at the patch boundary to form the mask pattern.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

2.

METROLOGY METHOD AND SYSTEM AND LITHOGRAPHIC SYSTEM

      
Application Number 18269983
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Alpeggiani, Filippo
  • Belt, Harm Jan Willem
  • Goorden, Sebatianus Adrianus
  • Setija, Irwan Dani
  • Huisman, Simon Reinald
  • Pellemans, Henricus Petrus Maria

Abstract

A method for measuring a parameter of interest from a target and associated apparatuses. The method includes obtaining measurement acquisition data relating to measurement of the target and finite-size effect correction data and/or a trained model operable to correct for at least finite-size effects in the measurement acquisition data. At least finite-size effects in the measurement acquisition data is corrected for using the finite-size effect correction data and/or the trained model to obtain corrected measurement data and/or obtain a parameter of interest; and where the correcting does not directly determine the parameter of interest, determining the parameter of interest from the corrected measurement data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

3.

A PATTERNING DEVICE VOLTAGE BIASING SYSTEM FOR USE IN EUV LITHOGRAPHY

      
Application Number EP2023074779
Publication Number 2024/056552
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Brouns, Derk, Servatius, Gertruda
  • Nikipelov, Andrey
  • Cats, Selwyn, Yannick, Frithjof
  • Yaghoobi, Parham
  • Cloin, Christian, Gerardus, Norbertus, Hendricus, Marie
  • Yakunin, Andrei, Mikhailovich
  • Mylapravan Gangadharan, Hariprasad

Abstract

A patterning device voltage biasing system for use in a lithographic apparatus, the patterning device voltage biasing system comprising: a patterning device configured to impart a pattern to a beam of radiation, the patterning device comprising a patterning surface with a pattern thereon; and a voltage source, wherein the patterning device voltage biasing system is configured such that a voltage can be applied to the patterning surface of the patterning device by the voltage source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

4.

PELLICLE AND METHODS FOR FORMING PELLICLE FOR USE IN A LITHOGRAPHIC APPARATUS

      
Application Number EP2023074764
Publication Number 2024/056548
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-21
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • IMEC V.Z.W. (Belgium)
Inventor
  • Vermeulen, Paul, Alexander
  • Gallagher, Emily, Elizabeth
  • Pollentier, Ivan, Karel, Arthur
  • Brems, Steven

Abstract

A pellicle for use in a lithographic apparatus, the pellicle include: a membrane, the membrane having a first portion and a second portion; and a protective portion at the second portion on at least one side of the membrane. A method for forming a pellicle for use in a lithographic apparatus, the method including: providing a membrane having a first portion and a second portion, and providing a protective portion at the second portion on at least one side of the membrane.

IPC Classes  ?

  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

5.

INTENSITY ORDER DIFFERENCE BASED METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHODS THEREOF

      
Application Number 18255543
Status Pending
Filing Date 2021-12-02
First Publication Date 2024-03-21
Owner
  • ASML Holding N.V. (Netherlands)
  • ASML Netherlands B.V. (Netherlands)
Inventor
  • Kreuzer, Justin Lloyd
  • Huisman, Simon Reinald
  • Goorden, Sebastianus Adrianus
  • Alpeggiani, Filippo

Abstract

The system includes a radiation source, a diffractive element, an optical system, a detector, and a processor. The radiation source generates radiation. The diffractive element diffracts the radiation to generate a first beam and a second beam. The first beam includes a first non-zero diffraction order and the second beam includes a second non-zero diffraction order that is different from the first non-zero diffraction order. The optical system receives a first scattered beam and a second scattered radiation beam from a target structure and directs the first scattered beam and the second scattered beam towards a detector. The detector generates a detection signal. The processor analyzes the detection signal to determine a target structure property based on at least the detection signal. The first beam is attenuated with respect to the second beam or the first scattered beam is purposely attenuated with respect to the second scattered beam.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

6.

PATTERNING DEVICE CONDITIONING SYSTEM AND METHOD

      
Application Number 17768881
Status Pending
Filing Date 2020-09-14
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van De Kerkhof, Marcus Adrianus
  • Van De Wetering, Ferdinandus Martinus Jozef Henricus
  • Yakunin, Andrei Mikhailovich

Abstract

A reticle conditioning system includes: a support structure to support a reticle; a gas supply module to provide a flow of gas adjacent to the reticle; and a biasing module to control an electrical potential of the reticle. The biasing module includes a first electrode, a second electrode and a voltage supply. The first and second electrodes are each spaced apart from and facing the reticle, when the reticle is supported by the support structure, so as to at least partially overlap with the reticle. The voltage supply is arranged to maintain the first electrode at a positive voltage, and the second electrode at a negative voltage, these voltages being such that the voltage of the reticle is negative. The second electrode is disposed such that, when the reticle is supported by the support structure, it does not overlap an image forming portion of the reticle.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

7.

SEMICONDUCTOR CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Application Number 18038206
Status Pending
Filing Date 2021-10-26
First Publication Date 2024-03-21
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Nihtianov, Stoyan
  • Kanai, Kenichi
  • Ramachandra Rao, Padmakumar

Abstract

A detector may be provided for a charged particle apparatus comprising: a sensing element including a diode; and a circuit configured to detect an electron event caused by an electron impacting the sensing element, wherein the circuit comprises a voltage monitoring device and a reset device, wherein the reset device is configured to regularly reset the diode by setting a voltage across the diode to a predetermined value, and wherein the voltage monitoring device is connected to the diode to monitor a voltage across the diode in between resets.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

8.

METHOD TO PREDICT METROLOGY OFFSET OF A SEMICONDUCTOR MANUFACTURING PROCESS

      
Application Number 18276014
Status Pending
Filing Date 2022-01-21
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Dos Santos Guzella, Thiago
  • Ishibashi, Masashi
  • Sanno, Noriaki
  • Bastani, Vahid
  • Sahraeian, Reza
  • Saputra, Putra

Abstract

A method for determining a spatially varying process offset for a lithographic process, the spatially varying process offset (MTD) varying over a substrate subject to the lithographic process to form one or more structures thereon. The method includes obtaining a trained model (MOD), having been trained to predict first metrology data based on second metrology data, wherein the first metrology data (OV) is spatially varying metrology data which relates to a first type of measurement of the one or more structures being a measure of yield and the second metrology data (PB) is spatially varying metrology data which relates to a second type of measurement of the one or more structures and correlates with the first metrology data; and using the model to obtain the spatially varying process offset (MTD).

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

9.

ILLUMINATION ADJUSTMENT APPARATUSES AND LITHOGRAPHIC APPARATUSES

      
Application Number EP2023072765
Publication Number 2024/056318
Status In Force
Filing Date 2023-08-18
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ungureanu, Nicolae, Marian
  • Melfi, Jr., James
  • Chester, James, F.
  • Kaminer, Yuval
  • Apone, Nicholas, Stephen

Abstract

An illumination adjustment apparatus includes a plate, actuators, and finger structures. The actuators include coils disposed on the plate. The finger structures include beryllium alloy material. Ones of the finger structures are coupled to corresponding ones of the actuators via magnets. The finger structures are moved independently using the actuators, are disposed at least partially in a path of a beam of radiation to intercept at least a portion of the beam, and adjust an intensity cross-section of the beam based on the moving and the intercepting.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

10.

MIXED ENERGY CONTROL IN AN EUV LITHOGRAPHY SYSTEM

      
Application Number EP2023073112
Publication Number 2024/056330
Status In Force
Filing Date 2023-08-23
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Yan
  • Masic, Milenko
  • Jacques, Robert N.
  • Park, Jisang

Abstract

A system for and method of controlling extreme ultraviolet (EUV) output power in an EUV radiation source in which one control loop controls at least one system variable impacting the power of a drive laser while the drive laser generates laser pulses converting a portion of target material in an irradiation region and another control loop controls a hit/miss firing pattern which determines which laser pulses will strike a portion of target material in the irradiation region and which will not.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

11.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023072458
Publication Number 2024/056296
Status In Force
Filing Date 2023-08-15
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tinnemans, Patricius, Aloysius Jacobus
  • Van Putten, Eibert, Gerjan

Abstract

Disclosed is a dark-field metrology method. A first partial electric field is determined from a measurement parameter of first diffracted radiation data relating to a first portion of a diffraction order and a second partial electric field is determined from a measurement parameter of second diffracted radiation data relating to a second portion of the diffraction order, The first portion of the diffraction order and second portion of the diffraction order relate to respective portions of a detection pupil plane or conjugate thereof. An electric field of said diffraction order is determined from said first partial electric field and said second partial electric field.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

12.

A MULTI-PASS RADIATION DEVICE

      
Application Number EP2023071964
Publication Number 2024/056284
Status In Force
Filing Date 2023-08-08
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Edward, Stephen
  • Abdolvand, Amir

Abstract

A radiation device for generating broadband output radiation upon receiving pulsed input radiation, the radiation device comprising: an oscillating cavity comprising a first reflecting surface and a second reflecting surface; and a nonlinear medium located between the first reflecting surface and the second reflecting surface; wherein the oscillating cavity is configured to receive and to oscillate therewithin said pulsed input radiation such that the pulsed input radiation undergoes a filamentation process resulting in formation of one or more filaments in the nonlinear medium and such that the pulsed input radiation undergoes a plurality of passes through the nonlinear medium such that it is spectrally broadened by the nonlinear medium to form the broadband output radiation.

IPC Classes  ?

13.

METHODS AND APPARATUS FOR ACOUSTIC METROLOGY

      
Application Number 18268467
Status Pending
Filing Date 2021-12-15
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Arabul, Mustafa Ümit
  • Zhou, Zili
  • Coene, Willem Marie,julia,marcel
  • Verschuren, Coen Adrianus
  • Van Neer, Paul, Louis,maria Joseph
  • Piras, Daniele
  • Blaak, Sandra
  • Koek, Wouter Dick
  • Willekers, Robert Wilhelm

Abstract

A metrology apparatus for determining one or more parameters of a structure fabricated in or on a semiconductor substrate. The apparatus comprises a transducer array comprising a plurality of transducers positioned in a plane. The plurality of transducers comprises at least one transmitter transducer for emitting acoustic radiation in a frequency range from 1 GHz to 100 GHz towards the structure, and at least one receiver transducer for receiving acoustic radiation reflected and/or diffracted from the structure.

IPC Classes  ?

  • G01N 29/04 - Analysing solids
  • G01N 29/26 - Arrangements for orientation or scanning
  • G01N 29/28 - Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object - Details providing acoustic coupling

14.

GUIDING DEVICE AND ASSOCIATED SYSTEM

      
Application Number 18380439
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Labetski, Dzmitry
  • Berendsen, Christianus Wilhelmus Johannes
  • Duarte Rodrigues Nunes, Rui Miguel
  • Ershov, Alexander Igorevich
  • Feenstra, Kornelis Frits
  • Fomenkov, Igor Vladimirovich
  • Hummler, Klaus Martin
  • Johnkadaksham, Arun
  • Kraushaar, Matthias
  • Laforge, Andrew David
  • Langlois, Marc Guy
  • Loginov, Maksim
  • Ma, Yue
  • Mojab, Seyedmohammad
  • Nadir, Kerim
  • Shatalov, Alexander
  • Stewart, John Tom
  • Tegenbosch, Henricus Gerardus
  • Xia, Chunguang

Abstract

An extreme ultraviolet radiation (EUV) source, including: a vessel having an inner vessel wall and an intermediate focus (IF) region; an EUV collector disposed inside the vessel, the EUV collector including a reflective surface configured to reflect EUV radiation toward the intermediate focus region, the reflective surface configured to directionally face the IF region of the vessel; a showerhead disposed along at least a portion of the inner vessel wall, the showerhead including a plurality of nozzles configured to introduce gas into the vessel; and one or more exhausts configured to remove gas introduced into the vessel, the one or more exhausts being oriented along at least a portion of the inner vessel wall so that the gas is caused to flow away from the EUV collector.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

15.

CHARGED PARTICLE OPTICAL DEVICE, OBJECTIVE LENS ASSEMBLY, DETECTOR, DETECTOR ARRAY, AND METHODS

      
Application Number 18513481
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor Mangnus, Albertus Victor Gerardus

Abstract

The disclosed embodiments provide a various techniques for detecting secondary charged particles and backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, using mirror detector arrays, using multiple detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path

16.

CHARGED PARTICLE DEVICE AND METHOD

      
Application Number 18517642
Status Pending
Filing Date 2023-11-22
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor Mangnus, Albertus Victor Gerardus

Abstract

The present disclosure provides a charged particle optical device for a charged particle system. The device projects an array of charged particle beams towards a sample. The device comprises a control lens array to control a parameter of the array of beams; and an objective lens array to project the array of beams onto the sample, the objective lens array being down beam of the control lens. The objective lens array comprises: an upper electrode; and a lower electrode arrangement that comprises an up-beam electrode and a down-beam electrode. The device is configured to apply an upper potential to the upper electrode, an up-beam potential to the up-beam electrode and a down-beam potential to the down-beam electrode. The potentials are controlled to control the landing energy of the beams on the sample and. to maintain focus of the beams on the sample at the landing energies.

IPC Classes  ?

  • H01J 37/05 - Electron- or ion-optical arrangements for separating electrons or ions according to their energy
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/21 - Means for adjusting the focus

17.

HOLOGRAPHIC METROLOGY APPARATUS AND METHOD

      
Application Number EP2023071962
Publication Number 2024/052031
Status In Force
Filing Date 2023-08-08
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Buijs, Robin, Daniel
  • Van Schaijk, Theodorus, Thomas, Marinus
  • Den Boef, Arie, Jeffrey

Abstract

A method of determining a parameter of interest of a structure comprising at least one first feature oriented along a first axis of a structure coordinate system and at least one second feature oriented along a second axis of the structure coordinate system. The method comprising: illuminating the first feature and the second feature with first illumination from a first direction oblique to said first axis and second axis, so as to generate first scattered radiation from the first feature and second scattered radiation from the second feature, detecting a first interference pattern formed by interference between a portion of the first scattered radiation and first reference illumination; detecting a second interference pattern formed by interference between a portion of the second scattered radiation and the first reference illumination; and determining the parameter of interest of the structure using the first interference pattern and the second interference pattern.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03H 1/04 - Processes or apparatus for producing holograms
  • G03H 1/08 - Synthesising holograms

18.

EUV RADIATION BEAM POWER REDUCTION

      
Application Number EP2023072122
Publication Number 2024/052041
Status In Force
Filing Date 2023-08-10
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Szwedowicz, Konrad
  • Der Kinderen, Ronny

Abstract

A method of providing an additional EUV radiation exposure of part of a die on a substrate at a level of EUV radiation power which compensates for a previous low exposure, the method using EUV radiation power incident upon a patterning device of a lithographic apparatus, the lithographic apparatus comprising a first array of mirrors and a second array of mirrors, the first array of mirrors being configured to receive EUV radiation and to reflect the EUV radiation as sub-beams of radiation towards the second array of mirrors, wherein the method comprises rotating mirrors of the first array such that at least some of the sub-beams of radiation are incident on mirrors of the second array at positions which provide reduced transmission of the sub-beams of radiation to the patterning device.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

19.

MEASURING CONTRAST AND CRITICAL DIMENSION USING AN ALIGNMENT SENSOR

      
Application Number EP2023072449
Publication Number 2024/052061
Status In Force
Filing Date 2023-08-15
Publication Date 2024-03-14
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • IMEC V.Z.W. (Belgium)
Inventor
  • Duriau, Edouard, André, Marie, Louis
  • Tabery, Cyrus, Emil
  • Brunner, Timothy, Allan
  • Ausschnitt, Christopher, P.
  • Truffert, Vincent, Patrick, Thomas

Abstract

A method can include directing radiation toward at least two targets using an optical scanning system so as to generate first and second portions of scattered radiation. A first target can include a plurality of first grating line structures including features having a first bias value. A second target can include a plurality of second grating line structures including features having a second bias value. The method can include detecting the first and second portions of scattered radiation, generating a first measurement signal indicative of a first target position based on the first bias features, and generating a second measurement signal indicative of a second target position based on the second bias features. The method can include analyzing an effect of the first and second bias values on the first and second positions to determine at least one property of the set of targets.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/20 - Exposure; Apparatus therefor

20.

TARGET DELIVERY SYSTEM

      
Application Number 18512264
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Vaschenko, Georgiy Olegovich
  • Rollinger, Bob

Abstract

A target delivery system for an extreme ultraviolet (EUV) light source is disclosed. The system includes: a conduit including an orifice configured to fluidly couple to a reservoir; an actuator configured to mechanically couple to the conduit such that motion of the actuator is transferred to the conduit; and a control system coupled to the actuator, the control system being configured to: determine an indication of pressure applied to target material in the reservoir, and control the motion of the actuator based on the determined indication of applied pressure. Moreover, techniques for operating a supply system are disclosed. For example, one or more characteristics of the supply system are determined, and an actuator that is mechanically coupled to the supply system is controlled based on the one or more determined characteristics such that an orifice of the supply system remains substantially free of material damage during operational use.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

21.

Transport System Having a Magnetically Levitated Transportation Stage

      
Application Number 18515952
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner
  • Massachusetts Institute of Technology (USA)
  • ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhou, Lei
  • Trumper, David L.
  • Gunawardana, Ruvinda

Abstract

A reticle transport system having a magnetically levitated transportation stage is disclosed. Such a system may be suitable for use in vacuum environments, for example, ultra-clean vacuum environments. A magnetic levitated linear motor functions to propel the transportation stage in a linear direction along a defined axis of travel and to magnetically levitate the transportation stage

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors

22.

DATA PROCESSING DEVICE AND METHOD, CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18516020
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wieland, Marco Jan-Jaco
  • Kuiper, Vincent Sylvester

Abstract

A data processing device for detecting defects in sample images generated by a charged particle assessment system, the device comprising: an input module, a filter module, a reference image module and a comparator. The input module is configured to receive a sample image from the charged particle assessment system. The filter module is configured to apply a filter to the sample image to generate a filtered sample image. The reference image module is configured to provide a reference image based on one or more source images. The comparator is configured to compare the filtered sample image to the reference image so as to detect defects in the sample image.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

23.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023071435
Publication Number 2024/052012
Status In Force
Filing Date 2023-08-02
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • El Gawhary, Omar
  • Helfenstein, Patrick, Philipp
  • Van Rijswijk, Loes, Frederique
  • Geypen, Niels
  • Jonquiere, Hugo, Laurent
  • Invernizzi, Andrea
  • Cramer, Hugo, Augustinus, Joseph

Abstract

Disclosed is a method of determining at least one parameter of interest relating to a structure formed in at least one respective layer on a substrate, the method comprising: obtaining a measured metrology data relating to a measurement of the structure; obtaining a model, the model describing the structure in terms of a plurality of model parameters, the model parameters comprising estimated values; and performing in sequence a plurality of optimization steps, based on the measured metrology data, to determine the plurality of model parameters, each of the plurality of optimization steps determining a subset of the plurality of model parameters.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

24.

METHOD FOR MONITORING PROPER FUNCTIONING OF ONE OR MORE COMPONENTS OF A LITHOGRAPHY SYSTEM

      
Application Number EP2023072412
Publication Number 2024/052057
Status In Force
Filing Date 2023-08-14
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Goch, Bram, Paul, Theodoor
  • Van Der Maas, Richard, Jacobus, Rudolf

Abstract

Disclosed is a method for monitoring proper functioning of one or more components of a lithography system. The method comprises determining a frequency response function for each of said one or more components during production activity using the lithography system, at a time during said production activity when control requirements are relatively less stringent; evaluating each of said frequency response functions with respect to control data indicative of nominal lithographic system behavior; and predicting whether to perform a maintenance action on the lithography system based on said evaluating step.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

25.

A METHOD AND SYSTEM FOR PREDICTING ABERRATIONS IN A PROJECTION SYSTEM

      
Application Number 18262511
Status Pending
Filing Date 2022-01-05
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van De Wal, Marinus Maria Johannes
  • Van Berkel, Koos
  • Dolk, Victor Sebastiaan
  • Thissen, Stijn Clyde Natalia
  • Schneiders, Mauritius Gerardus Elisabeth
  • Koevoets, Adrianus Hendrik

Abstract

A method of predicting thermally induced aberrations of a projection system for projecting a radiation beam, the method comprising: calculating an irradiance profile for at least one optical element of the projection system from a power and illumination source pupil of the radiation beam, estimating a temperature distribution as a function of time in the at least one optical element of the projection system using the calculated irradiance profile for the at least one optical element of the projection system; calculating the thermally induced aberrations of the projection system based on the estimated temperature distribution and a thermal expansion parameter map associated with the at least one optical element of the projection system, wherein the thermal expansion parameter map is a spatial map indicating spatial variations of thermal expansion parameters in the at least one optical element of the projection system or a uniform map.

IPC Classes  ?

  • G01M 11/02 - Testing optical properties
  • G01K 1/02 - Means for indicating or recording specially adapted for thermometers
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

26.

OPERATION METHODS OF 2D PIXELATED DETECTOR FOR AN APPARATUS WITH PLURAL CHARGED-PARTICLE BEAMS AND MAPPING SURFACE POTENTIALS

      
Application Number 18269532
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wang, Yongxin
  • Krupin, Oleg
  • Ren, Weiming
  • Hu, Xuerang
  • Liu, Xuedong

Abstract

A method of detecting charged particles may include detecting beam intensity as a primary charged particle beam moves along a first direction; acquiring a secondary beam spot projection pattern as the primary charged particle beam moves along a second direction; and determining a parameter of a secondary beam spot based on the acquired secondary beam spot projection pattern. A method of compensating for beam spot changes on a detector may include acquiring a beam spot projection pattern on the detector, determining a change of the beam spot projection pattern, and adjusting a parameter of a detector cell of the detector based on the change. Another method may be provided for forming virtual apertures with respect to detector cells of a detector.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

27.

SYSTEM AND METHOD FOR DETECTING PARTICLES WITH A DETECTOR DURING INSPECTION

      
Application Number EP2023071272
Publication Number 2024/046685
Status In Force
Filing Date 2023-08-01
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Moon, Eunseong
  • Wang, Yongxin
  • Lai, Rui-Ling
  • Vessal, Farhang
  • Leng, Chongyang

Abstract

Systems, apparatuses, and methods include a detector including a detection element (400) including a portion of a silicon substrate (402) comprising: a front side (410) of the portion of the silicon substrate including a PIN diode that comprises a p-type region (404a) and an n-type region (403a); a back side (420) of the portion of the silicon substrate, opposite of the front side, comprising a substantially uniform surface; and a layer (421) on the back side of the portion of the silicon substrate; wherein: a region between the p-type region and the n-type region is configured to form a depletion region (407) when a reverse bias is applied between the p-type region (404a) and the n-type region (403a), and the PIN diode is configured to detect an electron that enters the back side of the portion of the silicon substrate and passes through the portion of the silicon substrate to the depletion region.

IPC Classes  ?

  • H01L 31/115 - Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
  • H01J 37/244 - Detectors; Associated components or circuits therefor

28.

METHOD FOR CONFIGURING A FIELD OF VIEW OF AN INSPECTION APPARATUS

      
Application Number EP2023071406
Publication Number 2024/046691
Status In Force
Filing Date 2023-08-02
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bastani, Vahid
  • Nechaev, Konstantin, Sergeevich
  • Anunciado, Roy
  • Van Der Sanden, Stefan, Cornelis, Theodorus

Abstract

Disclosed is method for configuring a field of view configuration of an inspection apparatus with respect to a pattern on a patterned substrate to be measured. The method comprises: obtaining metrology data comprising at least one parameter distribution across at least a portion of the pattern, the at least one parameter distribution relating to a respective one or more parameters of interest and/or respective one 5 or more proxy parameters for a parameter of interest; determining variation metric data quantifying an amount of variation captured within the parameter distribution when limited to the field of view for varied field of view configurations; and configuring the field of view configuration with respect to the pattern based on said variation metric data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

29.

MULTI-CHANNEL LIGHT SOURCE FOR PROJECTION OPTICS HEATING

      
Application Number 18273618
Status Pending
Filing Date 2021-12-30
First Publication Date 2024-03-07
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • ASML Holding N.V. (Netherlands)
Inventor
  • Van Bokhoven, Laurentius Johannes Adrianus
  • Ajgaonkar, Mahesh Upendra

Abstract

Systems, apparatuses, and methods are provided for heating a plurality of optical components. An example method can include receiving an input radiation beam from a radiation source. The example method can further include generating a plurality of output radiation beams based on the input radiation beam. The example method can further include transmitting the plurality of output radiation beams towards a plurality of heater head optics configured to heat the plurality of optical components. Optionally, the example method can further include controlling a respective power value, and realizing a flat-top far-field profile, of each of the plurality of output radiation beams.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

30.

SYSTEMS AND METHODS FOR THERMALLY CONDITIONING A WAFER IN A CHARGED PARTICLE BEAM APPARATUS

      
Application Number 18467642
Status Pending
Filing Date 2023-09-14
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van Heumen, Martijn Petrus Christianus
  • Gosen, Jeroen Gerard

Abstract

An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including a thermal conditioning station for preconditioning a temperature of a wafer is disclosed. The charged particle beam apparatus may scan the wafer to measure one or more characteristics of the structures on the wafer and analyze the one or more characteristics. The charged particle beam apparatus may further determine a temperature characteristic of the wafer based on the analysis of the one or more characteristics of the structure and adjust the thermal conditioning station based on the temperature characteristic.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

31.

ASSESSMENT SYSTEM, METHOD OF ASSESSING

      
Application Number 18506923
Status Pending
Filing Date 2023-11-10
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

Assessment systems and methods are disclosed. In one arrangement, charged particles are directed in sub-beams arranged in a multi-beam towards a sample. A plurality of control electrodes define a control lens array. Each control lens in the control lens array is aligned with a sub-beam path of a respective sub-beam of the multi-beam and configured to operate on the respective sub-beam. A plurality of objective electrodes define an objective lens array that directs the sub-beams onto a sample. Objective lenses are aligned with a sub-beam path aligned with a respective control lens. Selectable landing energies are implemented for a sub-beam of the multi-beam by applying corresponding potentials to the control electrodes and the objective electrodes. A controller is configured to select corresponding potentials so a spatial relationship between an image plane of the system and all control electrodes and objective electrodes is the same for each selectable landing energy.

IPC Classes  ?

  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

32.

DOSE CONTROL IN AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Application Number EP2023073115
Publication Number 2024/046835
Status In Force
Filing Date 2023-08-23
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Huang, Merlin

Abstract

A dose controller is configured to: receive a measurement of an extreme ultraviolet (EUV) pulse energy created from an EUV interaction, the EUV interaction occurring between a target light pulse produced by a target light source and a target in an interaction region; receive an EUV pulse energy set-point associated with a desired EUV pulse energy for exposing a substrate; determine an interaction ratio based on the measured EUV pulse energy and the EUV pulse energy set-point, the interaction ratio defining a percentage of the average number of EUV interactions over a period of time; determine energy in one or more target light pulses supplied to the interaction region, the determined energy being based on the value of the interaction ratio and the measured EUV pulse energy; and provide the determined interaction ratio and the determined energy to the target light source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

33.

METROLOGY SYSTEM AND METHOD

      
Application Number EP2023070488
Publication Number 2024/041827
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yoon, Changsik
  • Koolen, Armand, Eugene, Albert
  • Hoogveld, Jasper, Niko, Maria
  • Joobeur, Adel
  • Zimmerman, Richard, Carl
  • Raub, Alexander, Kenneth
  • Jin, Yuwei
  • Cheng, Su-Ting
  • Tenner, Vasco, Tomas
  • Wei, Xukang
  • Gouteux, Louise, Karina, Laurie

Abstract

A system includes an illumination system, a scanning system, an optical system, a detector system, and a processor. The illumination system directs an optical beam to illuminate a target structure. The scanning system scans the optical beam and controls a size of a focal spot of the optical beam onto the target structure. The optical system maintains an alignment with an optical axis of the system during scanning of the optical beam. The detector system detects a signal beam generated from the target structure during scanning of the optical beam. The signal beam comprises at least a scattered beam generated from the target structure. The processor analyzes the detected signal beam to determine an overlay characteristic of the target structure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

34.

MODELLING OF MULTI-LEVEL ETCH PROCESSES

      
Application Number EP2023070661
Publication Number 2024/041831
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fan, Yongfa
  • Feng, Mu

Abstract

Disclosed are methods, systems, and computer software for predicting after-etch profiles of features at varying depths. A method can include accessing after-development resist profiles of features. The method can also include applying an etch bias model on the after-development resist profiles to obtain the after-etch profiles, where the etch bias model correlates an etch bias with an etch depth.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

35.

TRAINING MACHINE LEARNING MODELS BASED ON PARTIAL DATASETS FOR DEFECT LOCATION IDENTIFICATION

      
Application Number 18267734
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Moin, Nabeel Noor
  • Lin, Chenxi
  • Zou, Yi

Abstract

A method and apparatus for training a defect location prediction model to predict a defect for a substrate location is disclosed. A number of datasets having data regarding process-related parameters for each location on a set of substrates is received. Some of the locations have partial datasets in which data regarding one or more process-related parameters is absent. The datasets are processed to generate multiple parameter groups having data for different sets of process-related parameters. For each parameter group, a sub-model of the defect location prediction model is created based on the corresponding set of process-related parameters and trained using data from the parameter group. A trained sub-model(s) may be selected based on process-related parameters available in a candidate dataset and a defect prediction may be generated for a location associated with the candidate dataset using the selected sub-model.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 20/20 - Ensemble learning

36.

DUAL FOCUS SOLUTON FOR SEM METROLOGY TOOLS

      
Application Number 18270707
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Bosch, Niels Johannes Maria
  • Wang, Xu
  • Hempenius, Peter Paul
  • Wang, Yongqiang
  • Butler, Hans
  • Wang, Youjin
  • Grasman, Jasper Hendrik
  • Sui, Jianzi
  • Chen, Tianming
  • Wu, Aimin

Abstract

There is provided a charged particle apparatus comprising: a particle beam generator, optics, a first and a second positioning device, both configured for positioning the substrate relative to the particle beam generator along its optical axis, and a controller configured for switching between a first operational mode and a second operational mode. The apparatus is configured, when operating in the first operational mode, for irradiating the substrate by the particle beam at a first landing energy of the particle beam and, when operating in the second operational mode, for irradiating the substrate at a second, different landing energy. When operating in the first operational mode, the second positioning device is configured to position the substrate relative to the particle beam generator at a first focus position of the particle beam and in the second operational mode, to position the substrate at a second, different focus position.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support

37.

DATA FILTER FOR SCANNING METROLOGY

      
Application Number 18280266
Status Pending
Filing Date 2022-02-21
First Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Caresio, Cristina
  • Kinyanjui, Tabitha Wangari
  • Rogachevskiy, Andrey Valerievich
  • Knarren, Bastiaan Andreas Wilhelmus Hubertus
  • Centeno, Raymund
  • Den Boer, Jan Arie
  • Trogrlic, Viktor

Abstract

A method of processing a data set including equispaced and/or non-equispaced data samples is disclosed. The method includes filtering of the data, wherein a kernel defined by a probability density function is convoluted over samples in the data set to perform a weighted average of the samples at a plurality of positions across the data set, and wherein a first order regression is applied to the filtered data to provide a processed data output.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

38.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18384791
Status Pending
Filing Date 2023-10-27
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

The embodiments of the present disclosure provide a charged particle assessment system comprising: a sample holder configured to hold a sample having a surface; a charged particle-optical device configured to project a charged particle beam towards the sample, the charged particle beam having a field of view corresponding to a portion of the surface of the sample, the charged particle-optical device having a facing surface facing the sample holder; and a projection assembly arranged to direct a light beam along a light path such that the light beam reflects off the facing surface up-beam, with respect to the light path, of being incident on the portion of the surface of the sample.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01J 37/244 - Detectors; Associated components or circuits therefor

39.

APPARATUS USING MULTIPLE BEAMS OF CHARGED PARTICLES

      
Application Number 18477213
Status Pending
Filing Date 2023-09-28
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Hu, Xuerang
  • Ren, Weiming
  • Liu, Xuedong
  • Chen, Zhong-Wei

Abstract

Disclosed herein is an apparatus comprising: a first electrically conductive layer, a second electrically conductive layer; a plurality of optics element s between the first electrically conductive layer and the second electrically conductive layer, wherein the plurality of optics elements are configured to influence a plurality of beams of charged particles; a third electrically conductive layer between the first electrically conductive layer and the second electrically conductive layer; and an electrically insulating layer physically connected to the optics elements, wherein the eclectically insulating layer is configured to electrically insulate the optics elements from the first electrically conductive layer, and the second electrically conductive layer.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes

40.

MODULAR AUTOENCODER MODEL FOR MANUFACTURING PROCESS PARAMETER ESTIMATION

      
Application Number 18259354
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Tiemersma, Bart Jacobus Martinus
  • Verheul, Nick
  • Dirks, Remco

Abstract

A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06N 3/0455 - Auto-encoder networks; Encoder-decoder networks
  • G06N 3/08 - Learning methods

41.

A METROLOGY APPARATUS AND A METROLOGY METHOD

      
Application Number 18268847
Status Pending
Filing Date 2021-12-16
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Raaymakers, Jeroen Arnoldus Leonardus Johannes
  • Lomans, Bram Antonius Gerardus
  • Den Boef, Arie Jeffrey
  • Butler, Hans

Abstract

A metrology apparatus for measuring a parameter of interest of a target on a substrate, the metrology apparatus including: m×n detectors, wherein m≥1 and n≥1; a first frame; and (n−1) second frames; and (m−1)×n intermediate frames, wherein each detector is connected to one of the intermediate or first or second frames via a primary positioning assembly; and each intermediate frame is connected to one of the first or second frames via a secondary positioning assembly.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

42.

AN INTERFEROMETER SYSTEM, POSITIONING SYSTEM, A LITHOGRAPHIC APPARATUS, A JITTER DETERMINATION METHOD, AND A DEVICE MANUFACTURING METHOD

      
Application Number 18269547
Status Pending
Filing Date 2021-12-12
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Jansen, Maarten Jozef

Abstract

An interferometer system includes an optics system configured to allow a first light beam to travel along a measurement path including a target, and a second light beam to travel along a fixed reference path excluding the target; and a signal generator configured to introduce a power-modulated optical signal in the measurement path or the reference path to determine jitter caused by components of the interferometer system downstream of the signal generator.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 9/02003 - Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using beat frequencies
  • G01B 9/02055 - Reduction or prevention of errors; Testing; Calibration

43.

METROLOGY METHODS AND APPARATUSES

      
Application Number 18270644
Status Pending
Filing Date 2021-12-23
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Theeuwes, Thomas
  • Wildenberg, Jochem Sebastiaan
  • Zhang, Lei
  • Van Ithersum, Ronald

Abstract

Disclosed is a method of determining a performance parameter or a parameter derived therefrom, the performance parameter being associated with a performance of a lithographic process for forming one or more structures on a substrate subject to the lithographic process. The method comprises obtaining a probability description distribution comprising a plurality of probability descriptions of the performance parameter, each probability description corresponding to a different position on the substrate and decomposing each probability description into a plurality of component probability descriptions to obtain a plurality of component probability description distributions. A component across-substrate-area model is determined for each of said plurality of component probability descriptions, which models its respective component probability description across a substrate area; and a value for said performance parameter or parameter derived therefrom is determined based on the component across-substrate-area models.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

44.

METHOD TO STABILIZE A WAVELENGTH OF A TUNABLE LASER DEVICE, TUNABLE LASER DEVICE, AND POSITION MEASUREMENT SYSTEM PROVIDED WITH THE TUNABLE LASER DEVICE

      
Application Number EP2023069432
Publication Number 2024/037799
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jansen, Maarten, Jozef
  • Klarenbeek, Eduard, Martinus

Abstract

A wavelength of a tunable laser device is stabilized by providing a laser beam from a tunable laser source to an interferometer having a stable reference axis and to a gas absorption cell, scanning the laser beam between first and second wavelengths to determine absorption cell transmission spectrum as a function of wavelength difference; using the interferometer to determine phase change as a function of the wavelength difference; determining a transmission spectrum as a function of the phase change using the gas absorption cell transmission spectrum and phase change as a function of wavelength difference; correlating the determined transmission spectrum as a function of phase change to a pre-calibrated transmission spectrum of the tunable laser device to provide absolute laser wavelength as a function of the phase change; defining phase setpoint corresponding to wavelength setpoint; using the phase setpoint to tune the tunable laser device to the wavelength setpoint.

IPC Classes  ?

  • H01S 3/13 - Stabilisation of laser output parameters, e.g. frequency or amplitude
  • G01J 9/02 - Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength by interferometric methods

45.

A CONDITIONING SYSTEM, ARRANGEMENT AND METHOD

      
Application Number EP2023069502
Publication Number 2024/037801
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Gattobigio, Giovanni, Luca
  • Lau, Yuk Man
  • Park, Sang-Myung

Abstract

Disclosed herein is a stand-alone conditioning system for a fluid handling structure of a lithographic apparatus, comprising: an inspection system configured to inspect the fluid handling structure and to determine one or more different types of conditioning to be performed on a major surface of the fluid handling structure; and a conditioning device configured to perform the determined one or more different types of conditioning on the major surface of the fluid handling structure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

46.

SUPPRESSING SPECULAR REFLECTION OF MASK ABSORBER AND ON- RESOLUTION FIELD STITCHING

      
Application Number EP2023070498
Publication Number 2024/037837
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Slachter, Abraham
  • Van Lare, Marie-Claire
  • Mcnamara, John, Martin
  • Engblom, Peter, David
  • Van Setten, Eelco

Abstract

Described a method and system for generating a mask pattern design for use in imaging of a pattern on a substrate using a lithographic apparatus. The methods include identifying an area located between two adjacent exposure fields in a lithography process, and determining a placement and a geometry of a sub-resolution feature to be placed in the area of an absorber layer of the mask pattern design based on a prescribed background intensity criterion. The geometrical parameters, such as a pitch and critical dimension (CD) of the sub-resolution feature, and placement parameters such as overlay parameter and line end distance are determined for assisting in designing and positioning the sub-resolution feature in the absorber layer to minimize specular reflection from the absorber layer. The placement parameters may also assist in stitching images of adjacent exposure fields.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

47.

DATA-DRIVEN PREDICTION AND IDENTIFICATION OF FAILURE MODES BASED ON WAFER-LEVEL ANALYSIS AND ROOT CAUSE ANALYSIS FOR SEMICONDUCTOR PROCESSING

      
Application Number 18268924
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Xu, Huina
  • Matsushita, Yana
  • Hasan, Tanbir
  • Kou, Ren-Jay
  • Goel, Namita Adrianus
  • Li, Hongmei
  • Pisarenco, Maxim
  • Kooiman, Marleen
  • Batistakis, Chrysostomos
  • Onvlee, Johannes

Abstract

A method and apparatus for analyzing an input electron microscope image of a first area on a first wafer are disclosed. The method comprises obtaining a plurality of mode images from the input electron microscope image corresponding to a plurality of interpretable modes. The method further comprises evaluating the plurality of mode images, and determining, based on evaluation results, contributions from the plurality of interpretable modes to the input electron microscope image. The method also comprises predicting one or more characteristics in the first area on the first wafer based on the determined contributions. In some embodiments, a method and apparatus for performing an automatic root cause analysis based on an input electron microscope image of a wafer are also disclosed.

IPC Classes  ?

48.

MACHINE LEARNING-BASED SYSTEMS AND METHODS FOR GENERATING SYNTHETIC DEFECT IMAGES FOR WAFER INSPECTION

      
Application Number 18268953
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wang, Zhe
  • Yu, Liangjiang
  • Pu, Lingling

Abstract

An improved systems and methods for generating a synthetic defect image are disclosed. An improved method for generating a synthetic defect image comprises acquiring a machine learning-based generator model; providing a defect-free inspection image and a defect attribute combination as inputs to the generator model; and generating by the generator model, based on the defect-free inspection image, a predicted synthetic defect image with a predicted defect that accords with the defect attribute combination.

IPC Classes  ?

49.

MODULAR AUTOENCODER MODEL FOR MANUFACTURING PROCESS PARAMETER ESTIMATION

      
Application Number 18270074
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Tiemersma, Bart Jacobus Martinus
  • Onose, Alexandru
  • Verheul, Nick
  • Dirks, Remco

Abstract

A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 3/0455 - Auto-encoder networks; Encoder-decoder networks
  • G06N 3/0895 - Weakly supervised learning, e.g. semi-supervised or self-supervised learning

50.

METHOD FOR DETERMINING A FOCUS ACTUATION PROFILE FOR ONE OR MORE ACTUATORS OF A LITHOGRAPHIC EXPOSURE APPARATUS

      
Application Number 18270988
Status Pending
Filing Date 2021-12-24
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Gorp, Simon Hendrik Celine
  • Van Reenen, Stephan

Abstract

A method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus in control of a lithographic exposure process for exposure of an exposure area including at least two topographical levels. The method includes determining a continuous single focus actuation profile for the at least two topographical levels from an objective function including a per-level component operable to optimize a focus metric per topographical level for each of the at least two topographical levels.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

51.

SUPERCONTINUUM RADIATION SOURCE AND ASSOCIATED METROLOGY DEVICES

      
Application Number 18373421
Status Pending
Filing Date 2023-09-27
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bauerschmidt, Sebastian Thomas
  • Götz, Peter Maximilian
  • Uebel, Patrick Sebastian

Abstract

A supercontinuum radiation source including a modulator being operable to modulate pump laser radiation including a train of radiation pulses to provide modulated pump laser radiation, the modulation being such to selectively provide a burst of the pulses; and a hollow-core photonic crystal fiber being operable to receive the modulated pump laser radiation and excite a working medium contained within the hollow-core photonic crystal fiber so as to generate supercontinuum radiation.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • H01S 3/00 - Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
  • H01S 3/067 - Fibre lasers

52.

METHOD FOR RADIATION SPECTRUM AWARE SOUCE MASK OPTIMIZATION FOR LITHOGRAPHY

      
Application Number EP2023071100
Publication Number 2024/037859
Status In Force
Filing Date 2023-07-29
Publication Date 2024-02-22
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • CYMER, LLC (USA)
Inventor
  • Conley, Willard, Earl
  • Crouse, Michael, Matthew
  • Kaplan, Christopher, James
  • Counts, Tami, Lynn
  • Plachecki, Vincent, Edward
  • Thornes, Joshua, Jon

Abstract

Photolithography. Perform source mask optimization SMO for a plurality of laser spectra. Generate training data by determining for each optimized source-mask-combination performance metrics such as EPE, CDU, LER, LWR, DOF, NILS for the different laser spectra. Train a machine learning ML model to determine an optimal laser spectrum based on the generated training data. Predict optimal spectra for production design layouts using the trained ML model.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

53.

CLASSIFYING PRODUCT UNITS

      
Application Number EP2023069419
Publication Number 2024/037797
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koulierakis, Eleftherios
  • Gonzalez Huesca, Juan Manuel
  • Smal, Pavel
  • Aarden, Frans, Bernard
  • Ravichandran, Arvind
  • Dou, Meng
  • Hubaux, Arnaud
  • Van Hertum, Pieter

Abstract

One embodiment relates to a method of classifying product units subject to a process performed by an apparatus, the method comprising: receiving KPI data, the KPI data associated with a plurality of components of the apparatus and comprising data associated with a plurality of KPIs; clustering the KPI data to identify a plurality of clusters; analyzing the plurality of clusters to identify a plurality of failure modes associated with the apparatus, for each identified failure mode assigning a threshold to each KPI associated with the failure mode; and for each of the plurality of product units: determining the likelihood of each of the plurality of failure modes based on KPI data of the product unit and the thresholds assigned to each KPI associated with one of the plurality of failure modes; and performing a classification based on the likelihoods of each of the plurality of failure modes.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

54.

METHOD AND APPARATUS FOR CONTACTLESS INSPECTION OF A SUBSTRATE

      
Application Number EP2023070464
Publication Number 2024/037834
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ravichandran, Arvind
  • Parayil Venugopalan, Syam

Abstract

A device (201) for inspecting a conductive pattern (202) on a substrate (200) includes a plurality of sensor plates (204), a table configured and arranged to support the substrate, a voltage source (208), configured to generate an electric field between the sensor plates and the conductive pattern on the substrate, an actuator (206), configured to move the sensor plates relative to the substrate, a controller (210), the controller configured and arranged to identify regions having defect on the basis of changes in capacitance between the sensor plates and the substrate as the sensor plates are moved relative to the substrate.

IPC Classes  ?

  • G01R 31/312 - Contactless testing by capacitive methods

55.

SUPERCONDUCTIVE MAGNET ASSEMBLY, PLANAR MOTOR AND LITHOGRAPHIC APPARATUS

      
Application Number EP2023070786
Publication Number 2024/037849
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Hamelinck, Roger, Franciscus, Mattheus, Maria
  • De Gersem, Gudrun, Ghilaine, Agnes
  • Van Duivenbode, Jeroen
  • Van De Ven, Emiel, Anton
  • Wesselink, Rien, Johan, Hein

Abstract

The invention provides a superconductive (SC) magnet assembly for a planar motor, the superconductive magnet assembly comprising: - a two-dimensional (2D) array of SC coils configured to generate a two-dimensional spatially alternating magnetic field; - a shield arranged on a side of the 2D array of SC coils facing, during use, a mover of the planar motor, the shield being configured to mitigate a magnetic field change as experienced by the 2D array of SC coils; wherein the shield comprises a layer of conductive material, the layer spanning an area substantially covering the 2D array of SC coils, the layer of conductive material having a thickness variation across the area, the thickness variation across the area being associated with a geometric parameter of the 2D array of SC coils.

IPC Classes  ?

  • H02K 11/01 - Structural association of dynamo-electric machines with electric components or with devices for shielding, monitoring or protection for shielding from electromagnetic fields
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors
  • H02K 55/02 - Dynamo-electric machines having windings operating at cryogenic temperatures of the synchronous type
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

56.

APPARATUS AND METHOD FOR DETERMINING THREE DIMENSIONAL DATA BASED ON AN IMAGE OF A PATTERNED SUBSTRATE

      
Application Number 18266792
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Houben, Tim
  • Huisman, Thomas Jarik
  • Pisarenco, Maxim
  • Middlebrooks, Scott Anderson
  • Batistakis, Chrysostomos
  • Cao, Yu

Abstract

A system, method, and apparatus for determining three-dimensional (3D) information of a structure of a patterned substrate. The 3D information can be determined using one or more models configured to generate 3D information (e.g., depth information) using only a single image of a patterned substrate. In a method, the model is trained by obtaining a pair of stereo images of a structure of a patterned substrate. The model generates, using a first image of the pair of stereo images as input, disparity data between the first image and a second image, the disparity data being indicative of depth information associated with the first image. The disparity data is combined with the second image to generate a reconstructed image corresponding to the first image. Further, one or more model parameters are adjusted based on the disparity data, the reconstructed image, and the first image.

IPC Classes  ?

  • G06T 7/593 - Depth or shape recovery from multiple images from stereo images
  • G06T 5/50 - Image enhancement or restoration by the use of more than one image, e.g. averaging, subtraction
  • G06T 7/13 - Edge detection

57.

ELECTRON OPTICAL COLUMN AND METHOD FOR DIRECTING A BEAM OF PRIMARY ELECTRONS ONTO A SAMPLE

      
Application Number 18269269
Status Pending
Filing Date 2021-11-29
First Publication Date 2024-02-15
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Osterberg, Mans Johan Bertil
  • Kanai, Kenichi

Abstract

Apparatus and methods for directing a beam of primary electrons along a primary beam path onto a sample are disclosed. In one arrangement, a beam separator diverts away from the primary beam path a beam of secondary electrons emitted from the sample along the primary beam path. A dispersion device is upbeam from the beam separator. The dispersion device compensates for dispersion induced in the primary beam by the beam separator. One or more common power supplies drive both the beam separator and the dispersion device.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/05 - Electron- or ion-optical arrangements for separating electrons or ions according to their energy
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/24 - Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for

58.

HOLLOW-CORE PHOTONIC CRYSTAL FIBER

      
Application Number 18271025
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Uebel, Patrick Sebastian
  • Bauerschmidt, Sebastian Thomas
  • Götz, Peter Maximilian

Abstract

A radiation source for generating broadband radiation, the source including an input assembly for providing input radiation and a hollow-core photonic crystal fiber configured to receive and confine the input radiation coupled into the fiber for generating broadband radiation through spectral broadening, wherein the input assembly includes a pump source configured to provide input radiation having an average power of at least 50 W, and wherein the hollow core photonic crystal fiber includes: a hollow core having a diameter of at least 35 μm; and a cladding region including a plurality of anti-resonant structures surrounding the hollow core, the anti-resonant structures including a wall portion having a wall thickness smaller than half the wavelength of the lower end of a wavelength range of the broadband radiation.

IPC Classes  ?

59.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023070043
Publication Number 2024/033035
Status In Force
Filing Date 2023-07-19
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zwier, Olger, Victor
  • Koop, Erik, Johan

Abstract

Disclosed is a metrology method. The method comprises illuminating a target comprising one or more sub-targets on a substrate using underfilled illumination such that an illumination beam profile underfills each of said one or more sub-targets; capturing scattered radiation resultant from said illuminating the target; imaging the scattered radiation at a detection image plane to obtain an image; and determining a parameter of interest from the imaged scattered radiation.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

60.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023070048
Publication Number 2024/033036
Status In Force
Filing Date 2023-07-19
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Calado, Victor, Emanuel
  • Mathijssen, Simon, Gijsbert, Josephus
  • Anderson, Amanda, Elizabeth

Abstract

Disclosed is a metrology method. The method comprises obtaining measurement data relating to measurement of at least one target using two or more different illumination profiles; and a respective parameter of interest value for a parameter of interest for each of said two or more different illumination profiles. The method described determining, from said measurement data, a respective measurement parameter deviation value for each of said two or more different illumination profiles, said measurement parameter deviation value describing a deviation in a measurement parameter with respect to a measurement parameter value attributed to a region of interest of said target or a sub-target thereof; determining a relationship for the target between the parameter of interest values and the measurement parameter deviation values; and determining one or both of a corrected parameter of interest value and a preferred illumination profile from said relationship.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

61.

DUAL-USE READ-OUT CIRCUITRY IN CHARGED PARTICLE DETECTION SYSTEM

      
Application Number 18257736
Status Pending
Filing Date 2021-12-13
First Publication Date 2024-02-15
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Sundermeyer, Jan Louis
  • Klein, Leonhard Martin
  • Oberst, Matthias
  • Neubauer, Harald Gert Helmut

Abstract

An improved readout circuit for a charged particle detector and a method for operating the readout circuit are disclosed. An improved circuit comprises an amplifier configured to receive a signal representing an output of a sensor layer and comprising a first input terminal and an output terminal, a capacitor connected between the first input terminal and the output terminal, and a resistor connected in parallel with the capacitor between the first input terminal and the output terminal. The circuit can be configured to operate in a first mode and a second mode. The capacitor can be adjustable using a capacitance value of the capacitor to enable control of a gain of the circuit operating in the first mode and control of a bandwidth of the circuit operating in the second mode.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

62.

FLUID DISPENSING SYSTEM AND METHOD

      
Application Number EP2023068678
Publication Number 2024/032987
Status In Force
Filing Date 2023-07-06
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Huang, Zhuangxiong
  • Tralli, Aldo
  • Jilisen, Reinier, Theodorus, Martinus
  • Merkx, Maarten, Anton, Gertruda

Abstract

There is provided a fluid dispensing system including a fluid-permeable surface having a pre-defined permeability to allow permeation of a fluid, and a controller configured to control the rate of permeation of the fluid into a volume by controlling one or both of a pressure of the fluid and an exposed surface area of the fluid-permeable surface. Also provided is a method of controlling the dispensing of a fluid, a plasma-generating apparatus including such a fluid dispensing system as well as the use of such a system, method, or apparatus in a lithographic apparatus or process.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • H01J 37/32 - Gas-filled discharge tubes

63.

INFERENCE MODEL TRAINING

      
Application Number EP2023069393
Publication Number 2024/033005
Status In Force
Filing Date 2023-07-12
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Middlebrooks, Scott, Anderson
  • Verheul, Nick
  • Van Kraaij, Markus, Gerardus, Martinus, Maria
  • Tiemersma, Bart, Jacobus, Martinus
  • Cerfontaine, Pascal

Abstract

A method of training an inference model to determine one or more parameters of a product of a fabrication process from measurements of the product. The method comprises obtaining a dataset of measurements of one or more products of the fabrication process, each of the measurements comprising an array of values obtained by measuring a corresponding one of the products. The method further comprises selecting a proper subset of the dataset for use in training the inference model, the subset being selected by applying an optimisation procedure to an objective function providing a measure of differences between each measurement in the dataset and corresponding reproduced values of the measurements obtained using a reproduction function having a domain comprising the measurements in the subset and excluding the measurements not in the subset. The method also comprises training the inference model using the proper subset of the dataset.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G06N 3/08 - Learning methods
  • G06N 20/00 - Machine learning
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

64.

DEBRIS HANDLING APPARATUS AND METHOD FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Application Number EP2023069737
Publication Number 2024/033020
Status In Force
Filing Date 2023-07-15
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Johnson, Esteban, Joseph, Sandoval
  • Scaffidi, Kyle, John
  • Mandrusiak, Gary, Dwayne
  • Easo, Liza
  • Crocker, Adam
  • Caputo, Bryce, Collin

Abstract

A debris handling apparatus controls debris within a controlled-environment interior of a chamber of an extreme ultraviolet (EUV) radiation source in which produced EUV light is transmitted along an optical axis within the chamber interior. The debris handling apparatus includes: a linear array of fins and a port liner. The fins are arranged relative to each other such that grooves are formed between adjacent fins of the array, each groove including an input groove passage and an output groove passage facing an exhaust pump such that a flow direction is defined from the input groove passage to the output groove passage. The port liner defines a fluid port that includes an upstream side positioned exterior to the chamber and in fluid communication with the chamber interior, and a downstream side adjacent to and in fluid communication with the input groove passages.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

65.

A RADIATION SOURCE

      
Application Number EP2023069855
Publication Number 2024/033025
Status In Force
Filing Date 2023-07-18
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Edward, Stephen

Abstract

A beam metrology device for determining at least one characteristic of first radiation and/or at least one characteristic of second radiation, said second radiation being generated via a first nonlinear process upon receiving a first portion of the first radiation; the beam metrology device comprising: a metrology device nonlinear medium configured to receive a second portion of the first radiation and thereby to generate third radiation via a second nonlinear process; at least one detector configured to measure at least one characteristic of the third radiation; and a processing unit operable to determine the at least one characteristic of the first radiation and/or the at least one characteristic of the second radiation based on said at least one characteristic of the third radiation.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G02F 1/35 - Non-linear optics
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

66.

DYNAMIC SWITCHING OF A DETECTOR SWITCH MATRIX

      
Application Number EP2023070486
Publication Number 2024/033070
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bex, Jan
  • Neubauer, Harald Gert Helmut
  • Oberst, Matthias
  • Vollmer, Bernd Michael
  • Mook, Hindrik, Willem
  • Uludag, Utku

Abstract

A charged particle detector includes an array of sensing elements that may be selectively grouped with each other by a switch matrix. The sensing elements may be grouped in a shape and location that corresponds to an expected shape and location of beam spot to be detected. During a detection process, the grouping of sensing elements may be updated in real time. Updating may include both adding peripheral sensing elements to the group, as well as removing peripheral sensing elements from the group. A sensing element may be added if it is determined to be receiving sufficient irradiation from the beam spot. A sensing element may be removed if it is determined to not be receiving sufficient irradiation from the beam spot. The determination may be made by a thresholding circuit located within each sensing element.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors
  • G01T 1/28 - Measuring radiation intensity with secondary-emission detectors

67.

PARTICLE DETECTOR WITH REDUCED INTER-SYMBOL INTERFERENCE

      
Application Number EP2023070487
Publication Number 2024/033071
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bex, Jan
  • Pelgrom, Marcellinus Johannes Maria
  • Neubauer, Harald Gert Helmut
  • Oberst, Matthias
  • Vollmer, Bernd Michael
  • Mook, Hindrik, Willem
  • Uludag, Utku

Abstract

Systems and methods for fabricating a substrate of a charged-particle detector for use in a charged-particle beam apparatus are disclosed. The substrate may include a charge sensing element formed on a first surface of the substrate and configured to detect charged particles originating from a sample; and a plurality of transistors formed in a first region of a second surface of the substrate, the second surface being opposite the first surface, wherein a top surface of each transistor of the plurality of transistors is coplanar with a top surface of the first region and with the second surface of the substrate.

IPC Classes  ?

  • H01L 27/144 - Devices controlled by radiation
  • H01J 37/244 - Detectors; Associated components or circuits therefor

68.

REGION-DENSITY BASED MISALIGNMENT INDEX FOR IMAGE ALIGNMENT

      
Application Number EP2023070798
Publication Number 2024/033096
Status In Force
Filing Date 2023-07-27
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zuo, Hongquan
  • Pu, Lingling
  • Xu, Ming

Abstract

An improved method and system for image alignment of an inspection image are disclosed. The improved method and system comprises a misalignment index based on applying a density function to both a sample image and a reference image at a region of interest. One or more metrics, such as cross-correlation of the sample and reference images, can be used to derive a region-density based misalignment index from the density-function images. The index can yield a unique alignment solution that is robust to noise and other errors.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/32 - Determination of transform parameters for the alignment of images, i.e. image registration using correlation-based methods
  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
  • G01R 31/303 - Contactless testing of integrated circuits

69.

SWITCH MATRIX CONFIGURATION FOR IMPROVED BANDWIDTH PERFORMANCE

      
Application Number EP2023070799
Publication Number 2024/033097
Status In Force
Filing Date 2023-07-27
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jan, Jan
  • Klein, Leonhard Martin
  • Neubauer, Harald Gert Helmut
  • Oberst, Matthias
  • Vollmer, Bernd Michael
  • Uludag, Utku
  • Mook, Hindrik, Willem

Abstract

A charged particle detector includes a plurality of sensing elements. The sensing elements may be divided into in sections, with each section including an array of sensing elements. Each section may be coupled to adjacent sections by a set of switches in an upper hierarchy of a switch matrix. Individual sensing elements may be connected to the upper hierarchy in their section by pickup switches. Individual sensing elements may further be coupled to adjacent sensing elements by lateral switches in a lower hierarchy. When sensing elements are grouped together to detect a charged particle beam spot, an optimal configuration of lower and upper hierarchy switches may be selected to optimize bandwidth performance of the detector.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors

70.

OPTIMIZATION OF LITHOGRAPHIC PROCESS BASED ON BANDWIDTH AND SPECKLE

      
Application Number 18266246
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-08
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • Cymer, LLC (USA)
Inventor
  • Conley, Willard Earl
  • Hsu, Duan-Fu Stephen
  • Thornes, Joshua Jon
  • Baselmans, Johannes Jacobus Matheus

Abstract

A method for improving a lithographic process of imaging a portion of a design layout onto a substrate using a lithographic apparatus. The method includes computing a multi-variable cost function that is a function of: (i) a plurality of design variables that affect characteristics of the lithographic process and (ii) a radiation bandwidth of a radiation source of the lithographic apparatus; and reconfiguring one or more of the characteristics (e.g., EPE, image contrast, resist, etc.) of the lithographic process by adjusting one or more of the design variables (e.g., source, mask layout, bandwidth, etc.) until a termination condition is satisfied. The termination condition includes a speckle characteristic (e.g., a speckle contrast) maintained within a speckle specification associated with the radiation source and also maintaining an image contrast associated with the lithographic process within a desired range. The speckle characteristic being a function of the radiation bandwidth.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 7/20 - Exposure; Apparatus therefor

71.

METHODS FOR SAMPLE SCHEME GENERATION AND OPTIMIZATION

      
Application Number 18239814
Status Pending
Filing Date 2023-08-30
First Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Frisco, Pierluigi

Abstract

A method for sample scheme generation includes obtaining measurement data associated with a set of locations; analyzing the measurement data to determine statistically different groups of the locations; and configuring a sample scheme generation algorithm based on the statistically different groups. A method includes obtaining a constraint and/or a plurality of key performance indicators associated with a sample scheme across one or more substrates; and using the constraint and/or plurality of key performance indicators in a sample scheme generation algorithm including a multi-objective genetic algorithm. The locations may define one or more regions spanning a plurality of fields across one or more substrates and the analyzing the measurement data may include stacking across the spanned plurality of fields using different respective sub-sampling.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

72.

MONOLITHIC DETECTOR

      
Application Number 18258522
Status Pending
Filing Date 2021-12-10
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Oberst, Matthias
  • Neubauer, Harald Gert Helmut
  • Schweiger, Thomas

Abstract

A monolithic detector may be used in a charged particle beam apparatus. The detector may include a plurality of sensing elements formed on a first side of a semiconductor substrate, each of the sensing elements configured to receive charged particles emitted from a sample and to generate carriers in proportion to a first property of a received charged particle, and a plurality of signal processing components formed on a second side of the semiconductor substrate, the plurality of signal processing components being part of a system configured to determine a value that represents a second property of the received charged particle. The substrate may have a thickness in a range from about 10 to 30 μm. The substrate may include a region configured to insulate the plurality of sensing elements formed on the first side from the plurality of signal processing components formed on the second side.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

73.

CHARGE DISSIPATIVE RETICLE TABLE CLEANING RETICLE

      
Application Number 18266361
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Rizo Diago, Pedro Julian
  • Voevodkin, George Grigorievich
  • Ebert, Earl William

Abstract

A reticle stage cleaning apparatus for a reticle stage in a lithographic apparatus includes a substrate having a frontside and a backside opposite the frontside and a conductive layer disposed on the frontside of the substrate. The conductive layer is configured to contact the reticle stage to dissipate charge on the reticle stage and to remove particles on the reticle stage via an electrostatic field generated between the conductive layer and the reticle stage. The substrate can include a plurality of grooves and the conductive layer can be disposed on the frontside of the substrate and on a bottom surface of the plurality of grooves. The reticle stage cleaning apparatus can include a second conductive layer configured to remove particles on the reticle stage via a second electrostatic field and be disposed atop the conductive layer in the bottom surface of the plurality of grooves.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

74.

FULLY AUTOMATED SEM SAMPLING SYSTEM FOR E-BEAM IMAGE ENHANCEMENT

      
Application Number 18365134
Status Pending
Filing Date 2023-08-03
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhou, Wentian
  • Yu, Liangjiang
  • Wang, Teng
  • Pu, Lingling
  • Fang, Wei

Abstract

Disclosed herein is a method of automatically obtaining training images to train a machine learning model that improves image quality. The method may comprise analyzing a plurality of patterns of data relating to a layout of a product to identify a plurality of training locations on a sample of the product to use in relation to training the machine learning model. The method may comprise obtaining a first image having a first quality for each of the plurality of training locations, and obtaining a second image having a second quality for each of the plurality of training locations, the second quality being higher than the first quality. The method may comprise using the first image and the second image to train the machine learning model.

IPC Classes  ?

  • G06V 10/774 - Generating sets of training patterns; Bootstrap methods, e.g. bagging or boosting
  • G06T 7/00 - Image analysis
  • G06F 18/214 - Generating training patterns; Bootstrap methods, e.g. bagging or boosting
  • G06V 10/776 - Validation; Performance evaluation
  • G06V 10/98 - Detection or correction of errors, e.g. by rescanning the pattern or by human intervention; Evaluation of the quality of the acquired patterns

75.

INSPECTION METHOD AND APPARATUS, LITHOGRAPHIC APPARATUS, LITHOGRAPHIC PROCESSING CELL AND DEVICE MANUFACTURING METHOD

      
Application Number 18486811
Status Pending
Filing Date 2023-10-13
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Pellemans, Henricus Petrus Maria
  • Den Boef, Arie Jeffrey

Abstract

A scatterometer for measuring a property of a target on a substrate includes a radiation source, a detector, and a processor. The radiation source produces a radiated spot on the target. The scatterometer adjusts a position of the radiated spot along a first direction across the target and along a second direction that is at an angle with respect to the first direction. The detector receives radiation scattered by the target. The received radiation is associated with positions of the radiated spot on the target along at least the first direction. The detector generates measurement signals based on the positions of the radiated spot on the target. The processor outputs, based on the measurement signals, a single value that is representative of the property of the target. The processor also combines the measurement signals to output a combined signal and derives, based on the combined signal, the single value.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

76.

REFLECTIVE MEMBER FOR EUV LITHOGRAPHY

      
Application Number EP2023067468
Publication Number 2024/027999
Status In Force
Filing Date 2023-06-27
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Nam, Donk Seok
  • Yen, Anthony

Abstract

A reflective member for use in an EUV lithographic apparatus, the reflective member comprising a multilayer stack which comprises a plurality of layers arranged in pairs, wherein: each pair comprises a first layer and a second layer; the first layer is formed of a material that comprises Si; and the second layer is formed of a material that comprises at least two of Ru, Nb, and Mo, and wherein the second layer is configured to have, for light with a wavelength of approximately 13.5 nm, a refractive index that is less than or equal to 0.92 and an absorption coefficient that is less than or equal to 0.015.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G21K 1/06 - Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction, or reflection, e.g. monochromators
  • G03F 1/22 - Masks or mask blanks for imaging by radiation of 100 nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

77.

SENSOR MODULE, ILLUMINATOR, METROLOGY DEVICE AND ASSOCIATED METROLOGY METHOD

      
Application Number EP2023069103
Publication Number 2024/028046
Status In Force
Filing Date 2023-07-11
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Schaik, Willem
  • Buijs, Robin, Daniel
  • Den Boef, Arie, Jeffrey
  • De Wit, Johannes, Matheus, Marie
  • Tukker, Teunis, Willem

Abstract

A sensor module is disclosed for a metrology apparatus. The sensor module comprises an illumination device for illuminating a structure on a substrate, said illumination device comprising at least a first set of illuminators and a second set of illuminators, wherein said first set of illuminators comprise one or more illuminators which are each operable to illuminate said structure with first illumination comprising a first optical characteristic and wherein said second set of illuminators comprise one or more illuminators which are each operable to illuminate said structure with second illumination comprising a second optical characteristic different to said first optical characteristic; an optical system being operable to capture scattered radiation scattered by the structure resultant from the structure being illuminated; and a detector operable to detect the scattered radiation.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03H 1/04 - Processes or apparatus for producing holograms

78.

SEMICONDUCTOR CHARGED PARTICLE DETECTOR AND METHODS THEREOF

      
Application Number EP2023069554
Publication Number 2024/028076
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ramachandra Rao, Padmakumar
  • Jansen, Sven

Abstract

Systems and methods for charged particle detection using a charged particle detector in a charged-particle beam apparatus are disclosed. The apparatus may include a charged-particle detector comprising a substrate. The substrate may comprise a plurality of sensing elements configured to receive a plurality of charged particles generated from a sample. Each of the plurality of sensing elements comprises a first device configured to detect a charged particle of the plurality of charged particles having an energy equal to or below a first threshold and allow a charged particle of the plurality of charged particles having an energy greater than the first threshold to pass through. The sensing element further comprises a second device configured to detect the charged particle that is allowed to pass through the first device.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

79.

HIGH-THROUGHPUT LOAD LOCK CHAMBER

      
Application Number EP2023070790
Publication Number 2024/028194
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yu, Dongchi
  • Lin, Yi-Chen
  • Lin, Jun-Li
  • Fu, Shao-Wei

Abstract

An improved load lock chamber is provided. The load lock chamber includes a gas vent port, a first compartment configured to receive a wafer for loading into and unloading from a main vacuum chamber, and a second compartment partitioned from the first compartment. The second compartment is configured to receive gas through the gas vent port. The load lock chamber also includes a flow attenuation path connecting the first compartment and the second compartment. The flow attenuation path is configured to route the gas from the second compartment to the first compartment and to attenuate gas flow.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

80.

METHOD FOR CONTROLLING A MANUFACTURING PROCESS AND ASSOCIATED APPARATUSES

      
Application Number 18242842
Status Pending
Filing Date 2023-09-06
First Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ten Berge, Peter
  • Steen, Steven Erik
  • Smorenberg, Pieter Gerardus Jacobus
  • Elbattay, Khalid

Abstract

A method for controlling a process of manufacturing semiconductor devices, the method including: obtaining a first control grid associated with a first lithographic apparatus used for a first patterning process for patterning a first substrate; obtaining a second control grid associated with a second lithographic apparatus used for a second patterning process for patterning a second substrate; based on the first control grid and second control grid, determining a common control grid definition for a bonding step for bonding the first substrate and second substrate to obtain a bonded substrate; obtaining bonded substrate metrology data including data relating to metrology performed on the bonded substrate; and determining a correction for performance of the bonding step based on the bonded substrate metrology data, the determining a correction including determining a co-optimized correction for the bonding step and for the first patterning process and/or second patterning process.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

81.

METHODS OF INSPECTING SAMPLES WITH A BEAM OF CHARGED PARTICLES

      
Application Number 18484161
Status Pending
Filing Date 2023-10-10
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Tseng, Kuo-Feng
  • Dong, Zhonghua
  • Wang, Yixiang
  • Chen, Zhong-Wei

Abstract

Disclosed herein is an apparatus comprising: a source configured to emit charged particles, an optical system and a stage; wherein the stage is configured to support a sample thereon and configured to move the sample by a first distance in a first direction; wherein the optical system is configured to form probe spots on the sample with the charged particles; wherein the optical system is configured to move the probe spots by the first distance in the first direction and by a second distance in a second direction, simultaneously, while the stage moves the sample by the first distance in the first direction; wherein the optical system is configured to move the probe spots by the first distance less a width of one of the probe spots in an opposite direction of the first direction, after the stage moves the sample by the first distance in the first direction.

IPC Classes  ?

  • G01N 23/2206 - Combination of two or more measurements, at least one measurement being that of secondary emission, e.g. combination of secondary electron [SE] measurement and back-scattered electron [BSE] measurement
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 23/203 - Measuring back scattering

82.

CHARGED PARTICLE OPTICAL DEVICE, OBJECTIVE LENS ASSEMBLY, DETECTOR, DETECTOR ARRAY, AND METHODS

      
Application Number 18487946
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-02-08
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wieland, Marco Jan-Jaco
  • Mangnus, Albertus Victor Gerardus

Abstract

The embodiments of the present disclosure provide various techniques for detecting backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 23/203 - Measuring back scattering
  • H01J 37/10 - Lenses
  • H01J 37/244 - Detectors; Associated components or circuits therefor

83.

DETECTOR FOR DETECTING RADIATION, METHOD OF DETECTING RADIATION, ASSESSMENT SYSTEM

      
Application Number EP2023069540
Publication Number 2024/028075
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jansen, Sven
  • Ramachandra Rao, Padmakumar

Abstract

Detectors and methods of detecting radiation are disclosed. In one arrangement, a plurality of pixel elements is provided. The pixel elements comprise respective pixel substrates, collection electrodes and readout circuits. The pixel substrates are configured such that impingement of target radiation on the pixel substrates generates charge carriers in the pixel substrates. The readout circuits are configured to provide an output responsive to collection of the charge carriers by the respective collection electrodes. A control system implements a plurality of selectable resolution modes by controlling potentials applied to control electrodes and the collection electrodes to define a corresponding plurality of mappings between the pixel substrates in which charge carriers are generated and the collection electrodes that collect those charge carriers.

IPC Classes  ?

  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors

84.

SYSTEM, APPARATUS AND METHOD FOR SELECTIVE SURFACE TREATMENT

      
Application Number EP2023070472
Publication Number 2024/028147
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Der Voort, Dennis, Dominic

Abstract

Disclosed herein is a shielding system for use in a surface treatment process, comprising a first disk and a second disk. The first disk and second disk being arranged substantially parallel to each other. The first disk comprises a slit-shaped opening, the second disk comprises a plurality of openings; and the first and second disk are arranged to move with respect to each other around a common axis. Herewith, the slit can be positioned at one or more openings of the plurality of openings to form a passage through the shielding system.

IPC Classes  ?

  • C23F 4/00 - Processes for removing metallic material from surfaces, not provided for in group or
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

85.

CONTAMINATION MEASUREMENT

      
Application Number EP2023071296
Publication Number 2024/028327
Status In Force
Filing Date 2023-08-01
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Carbone, Ludovico
  • Dreissen, Mante
  • Levasier, Leon, Martin

Abstract

A method of determining contamination of an optical sensor in a lithographic apparatus, the method comprising illuminating a pattern on a patterning device using EUV radiation, projecting patterned reflected EUV radiation towards the optical sensor and thereby forming an aerial image of the pattern, and moving the optical sensor relative to the patterned reflected EUV radiation such that an intensity of EUV radiation measured by the optical sensor varies as a function of the position of the optical sensor, wherein the intensity measured by the optical sensor passes through a minimum, and wherein the method further comprises using the measured intensity to measure contamination of the optical sensor.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

86.

A SUBSTRATE COMPRISING A TARGET ARRANGEMENT, AND ASSOCIATED AT LEAST ONE PATTERNING DEVICE, LITHOGRAPHIC METHOD AND METROLOGY METHOD

      
Application Number 18258521
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Koolen, Armand Eugene Albert
  • Mathijssen, Simon Gijsbert Josephus
  • Lim, Hui Quan
  • Anderson, Amanda Elizabeth

Abstract

Disclosed is a method of measuring a target on a substrate comprising: illuminating a target with measurement radiation comprising at least a first wavelength, collecting the resultant scattered radiation within a collection numerical aperture; and determining a parameter of interest from said scattered radiation. The target comprises a mediator periodic structure and at least a first target periodic structure each in a respective different layer on the substrate, wherein a pitch of at least the mediator periodic structure is below a single diffraction limit defined by the collection numerical aperture and a wavelength of said measurement radiation, such that said scattered radiation comprises double diffracted radiation, said double diffracted radiation comprising radiation having undergone two sequential same-order diffractions of opposite sign.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

87.

FEATURE EXTRACTION METHOD FOR EXTRACTING FEATURE VECTORS FOR IDENTIFYING PATTERN OBJECTS

      
Application Number 18265431
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Li, Danying
  • Liu, Meng
  • Wuu, Jen-Yi
  • Sun, Rencheng
  • Wu, Cong
  • Xu, Dean

Abstract

An apparatus and method of feature extraction for identifying a pattern. An improved method includes obtaining data representative of a pattern instance, dividing the pattern instance into a plurality of zones, determining a representative characteristic of a zone of the plurality of zones, generating a representation of the pattern instance using a feature vector, wherein the feature vector includes an element corresponding to the representative characteristic, wherein the representative characteristic is indicative of a spatial distribution of one or more features of the zone. The method may also include classifying and/or selecting pattern instances based on the feature vector.

IPC Classes  ?

  • G06V 10/44 - Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components
  • G06T 7/11 - Region-based segmentation
  • G06V 10/764 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using classification, e.g. of video objects

88.

ELECTRON-OPTICAL DEVICE

      
Application Number 18486106
Status Pending
Filing Date 2023-10-12
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor Steenbrink, Stijn Wilem Herman Karel

Abstract

Disclosed herein is a charged-particle apparatus configured to inspect a sample with a charged-particle beam. The charged-particle apparatus comprises a detector assembly or an array of multipole elements. The charged-particle apparatus comprises an electronic device, a power source configured to output radiation, and a power converter configured to receive radiation from the power source, to convert the received radiation into electrical energy and to output the electrical energy to the electronic device. The power source is electrically isolated from the power converter.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

89.

DROPLET GENERATOR NOZZLE

      
Application Number EP2023068257
Publication Number 2024/022745
Status In Force
Filing Date 2023-07-03
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Drent, William, Peter

Abstract

A nozzle is provided for a droplet generator for a laser-produced plasma radiation source. The nozzle comprises a glass capillary for emitting droplets and a nozzle fitting comprising a throughbore, wherein the glass capillary is at least partially disposed in the throughbore. The nozzle further comprises a glass ferrule coupling the glass capillary to the nozzle fitting, the glass ferrule being conformed to a shape of the throughbore of the nozzle fitting. A method of manufacturing a nozzle for a droplet generator is also provided.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

90.

TRAINING A MODEL TO GENERATE PREDICTIVE DATA

      
Application Number EP2023069587
Publication Number 2024/022843
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Pisarenco, Maxim
  • Batistakis, Chrysostomos

Abstract

A method of training a generator model comprising: using the generator model to generate the predictive data based on the first measured data, wherein the first measured data and the predictive data can be used to form images of the sample; pairing subsets of the first measured data with subsets of the predictive data, the subsets corresponding to locations within the images of the sample that can be formed from the first measured data and the predictive data; using a discriminator to evaluate a likelihood that the predictive data comes from a same data distribution as second measured data measured from a sample after an etching process; and training the generator model based on: correlation for the pairs corresponding to a same location relative to correlation for pairs corresponding to different locations, the correlation being the correlation between the paired subsets of data, and the likelihood evaluated by the discriminator.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

91.

METHOD OF METROLOGY AND ASSOCIATED APPARATUSES

      
Application Number 18265606
Status Pending
Filing Date 2021-12-02
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Davis, Timothy Dugan
  • Mathijssen, Simon Gijsbert Josephus
  • Bhattacharyya, Kaustuve
  • Goorden, Sebastianus Adrianus
  • Koolen, Armand Eugene Albert
  • Jeon, Sera
  • Lin, Shuo-Chun

Abstract

Disclosed is a method of metrology. The method comprises measuring at least one surrounding observable parameter relating to a surrounding signal contribution to a metrology signal which comprises a contribution to said metrology signal which is not attributable to at least one target being measured and determining a correction from said surrounding signal observable parameter. The correction is used to correct first measurement data relating to measurement of one or more targets using measurement radiation forming a measurement spot on one or more of said one or more targets which is larger than one of said targets.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

92.

TOPOLOGY-BASED IMAGE RENDERING IN CHARGED-PARTICLE BEAM INSPECTION SYSTEMS

      
Application Number 18267748
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-02-01
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Liang, Haoyi
  • Ma, Bing
  • Chen, Zhichao
  • Kea, Marc Jurian

Abstract

Systems and methods of image alignment are disclosed herein. The method of image alignment may comprise obtaining an image of a sample, obtaining information associated with a corresponding reference image, generating a modified rendered image by blurring a rendered image of the corresponding reference image such that a topology of the rendered image is substantially preserved, wherein a degree of blurring is based on a characteristic of the topology, and aligning the image of the sample with the blurred rendered image. The method may further comprise aligning the image of the sample with the corresponding reference image based on an alignment between the image of the sample and the blurred rendered image.

IPC Classes  ?

  • G06V 10/24 - Aligning, centring, orientation detection or correction of the image
  • G06T 3/40 - Scaling of a whole image or part thereof
  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/50 - Depth or shape recovery
  • G06T 7/62 - Analysis of geometric attributes of area, perimeter, diameter or volume
  • G06T 7/70 - Determining position or orientation of objects or cameras

93.

METHOD OF DETERMINING AT LEAST A TARGET LAYOUT AND ASSOCIATED METROLOGY APPARATUS

      
Application Number 18281614
Status Pending
Filing Date 2022-03-08
First Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Werkman, Roy
  • Wildenberg, Jochem Sebastiaan
  • Rijpstra, Manouk

Abstract

A method of optimizing a target layout for a patterning device and a sampling scheme for measuring the targets of the target layout exposed on a substrate, the method including co-optimizing the target layout and the sampling scheme to obtain an optimized target layout for the patterning device and an optimized sampling scheme for measuring the targets of the optimized target layout exposed on a substrate.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

94.

LITHOGRAPHY APPARATUS AND A METHOD OF MANUFACTURING A DEVICE

      
Application Number 18463667
Status Pending
Filing Date 2023-09-08
First Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Eummelen, Erik Henricus Egidius Catharina
  • Debougnoux, Frank
  • Cuypers, Koen
  • Lempens, Han Henricus Aldegonda
  • Polet, Theodorus Wilhelmus
  • Vieyra Salas, Jorge Alberto
  • Bombeeck, John Maria
  • Melman, Johannes Cornelis Paulus
  • Gattobigio, Giovanni Luca

Abstract

An immersion lithography apparatus controller configured to control a positioner to move a support table to follow an exposure route and to control a liquid confinement structure, the controller configured to: predict whether liquid will be lost from an immersion space during at least one motion of the route in which an edge of the object passes under an edge of the immersion space, and if liquid loss from the immersion space is predicted, modify the fluid flow such that a first fluid flow rate into or out of an opening at a leading edge of the liquid confinement structure is different to a second fluid flow rate into or out of an opening at a trailing edge of the liquid confinement structure during the motion of predicted liquid loss or a motion of the route subsequent to the motion of predicted liquid loss.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

95.

METHODS OF MITIGATING CROSSTALK IN METROLOGY IMAGES

      
Application Number EP2023066210
Publication Number 2024/022673
Status In Force
Filing Date 2023-06-16
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Buijs, Robin, Daniel
  • Coene, Willem, Marie, Julia, Marcel

Abstract

Disclosed is a method of determining an orthonormalized structure of interest reference image, the orthonormalized structure of interest reference image for applying to a measured image of the structure of interest to correct for the effect of crosstalk from at least one nuisance structure. The method comprises determining a structure of interest reference image based on knowledge of the structure of interest; determining at least one nuisance structure reference image based on knowledge of the at least one nuisance structure; and orthonormalizing the structure of interest reference image to the at least one nuisance reference image to obtain the orthonormalized structure of interest reference image.

IPC Classes  ?

96.

METHOD AND APPARATUSES FOR FOURIER TRANSFORM SPECTROMETRY

      
Application Number EP2023067754
Publication Number 2024/022720
Status In Force
Filing Date 2023-06-29
Publication Date 2024-02-01
Owner
  • STICHTING VU (Netherlands)
  • STICHTING NEDERLANDSE WETENSCHAPPELIJK ONDERZOEK INSTITUTEN (Netherlands)
  • UNIVERSITEIT VAN AMSTERDAM (Netherlands)
  • RIJKSUNIVERSITEIT GRONINGEN (Netherlands)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Den Boef, Arie, Jeffrey
  • Van Schaijk, Theodorus, Thomas, Marinus
  • Setija, Irwan, Dani
  • Cromwijk, Tamara, Christina
  • Adhikary, Manashee
  • Van Kraaij, Markus, Gerardus, Martinus, Maria

Abstract

Disclosed is a Fourier-transform spectrometer comprising a beamsplitting arrangement operable to define a first radiation source and a second radiation source from a common radiation source, and at least one detector operable to detect interferogram data as a function of detection position in at least a first detection plane direction of a detection plane, the interferogram data resulting from interference of a first diverging beam emitted from said first radiation source and a second diverging beam emitted from said second radiation source. A processor is operable to: perform a linearization correction to said interferogram data to obtain linearized interferogram data; and Fourier transform the linearized interferogram data to obtain spectral characteristic data relating to the common radiation source.

IPC Classes  ?

  • G01J 3/02 - Spectrometry; Spectrophotometry; Monochromators; Measuring colours - Details
  • G01J 3/453 - Interferometric spectrometry by correlation of the amplitudes
  • G01J 3/36 - Investigating two or more bands of a spectrum by separate detectors

97.

METHOD AND APPARATUS FOR PARTICLE REMOVAL

      
Application Number EP2023067965
Publication Number 2024/022729
Status In Force
Filing Date 2023-06-30
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Guo, Wei
  • Kreuzer, Justin, Lloyd
  • Roux, Stephen

Abstract

A system for removing particles from a surface includes a plurality of ultrasonic transducers, arranged in an array, a control system, in communication with the plurality of ultrasonic transducers, the control system configured to control phase and amplitude of transducers in the array to generate an acoustic particle trap at a selected location on the surface, and to move a particle trapped in the particle trap away from the surface, and an actuator, configured and arranged to relatively move the array of ultrasonic transducers in a scanning pattern over the surface such that different portions of the surface pass through the generated acoustic particle trap.

IPC Classes  ?

  • G03F 1/82 - Auxiliary processes, e.g. cleaning
  • B08B 7/02 - Cleaning by methods not provided for in a single other subclass or a single group in this subclass by distortion, beating, or vibration of the surface to be cleaned

98.

CONTAMINATION DETERMINATION

      
Application Number EP2023068600
Publication Number 2024/022773
Status In Force
Filing Date 2023-07-05
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Dreissen, Mante
  • Duivenvoorden, Kasper, Hendrikus
  • Carbone, Ludovico
  • Skoulidou, Dimitra
  • Levasier, Leon, Martin
  • Van Aerle, Nicolaas, Aldegonda, Jan, Maria

Abstract

A method of determining contamination of an optical sensor of a sensing system in a lithographic apparatus, the method comprising directing EUV radiation through an opening in a reticle masking blade (26) and onto a patterning device, projecting reflected EUV radiation onto the sensing system and thereby causing build-up of an area of contamination, measuring a height of the area of contamination and a height of an area of the sensing system which did not receive the reflected EUV radiation, and using the measured heights to determine an amount of contamination on the optical sensor of the sensing system.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

99.

ELECTROLYZER WITH DYNAMIC MEMBRANE

      
Application Number EP2023068723
Publication Number 2024/022779
Status In Force
Filing Date 2023-07-06
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Jager, Pieter, Willem, Herman
  • Kastelijn, Aukje, Arianne, Annette

Abstract

A system comprising an electrolyzer includes an anode configured for being connected to a first pole of a voltage source; a cathode configured for being connected to a second pole of the voltage source; a fluid inlet configured to allow a flow of fluid to enter the electrolyzer; and a fluid outlet configured to allow the flow to exit the electrolyzer, the electrolyzer configured to cause the flow to have a flow speed profile along a flow axis with a relatively higher flow speed at the flow axis between the anode and the cathode, and wherein the flow speed becomes relatively lower at locations away from the flow axis and more proximate the anode and the cathode, wherein the electrolyzer has an entrance length that causes the flow speed profile to be at least a partially developed laminar flow when the flow reaches the anode or the cathode.

IPC Classes  ?

  • C25B 1/00 - Electrolytic production of inorganic compounds or non-metals

100.

APPARATUS FOR AND METHOD OF SUPPLYING GAS TO A LITHOGRAPHY SYSTEM

      
Application Number EP2023069096
Publication Number 2024/022796
Status In Force
Filing Date 2023-07-11
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zhu, Qiushi
  • Zhang, Kevin Weimin
  • Ma, Yue

Abstract

Degradation of the reflectivity of one or more reflective optical elements in a system for generating EUV radiation is reduced by the introduction of a small amount of a first gas into a vacuum chamber containing the optical element, with the first gas being separated from a starting gas such as CDA or XCDA. The first gas may be added to the flow of another gas such as hydrogen.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  1     2     3     ...     68        Next Page