ASML Netherlands B.V.

Pays‑Bas

Retour au propriétaire

1-100 de 6 816 pour ASML Netherlands B.V. Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 6 691
        Marque 125
Juridiction
        États-Unis 3 944
        International 2 777
        Canada 58
        Europe 37
Date
Nouveautés (dernières 4 semaines) 62
2024 avril (MACJ) 51
2024 mars 43
2024 février 63
2024 janvier 73
Voir plus
Classe IPC
G03F 7/20 - Exposition; Appareillages à cet effet 3 948
G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique 701
H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma 495
G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet 467
G03B 27/42 - Appareils de tirage par projection, p.ex. agrandisseur, appareil photographique de reproduction pour la reproduction automatique répétée d'un même original 422
Voir plus
Classe NICE
09 - Appareils et instruments scientifiques et électriques 111
42 - Services scientifiques, technologiques et industriels, recherche et conception 62
07 - Machines et machines-outils 57
37 - Services de construction; extraction minière; installation et réparation 27
40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau 18
Voir plus
Statut
En Instance 668
Enregistré / En vigueur 6 148
  1     2     3     ...     69        Prochaine page

1.

SUBSTRATE RESTRAINING SYSTEM

      
Numéro d'application 18547470
Statut En instance
Date de dépôt 2022-02-03
Date de la première publication 2024-04-25
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Verschuren, Marinus Augustinus Christiaan
  • Poiesz, Thomas

Abrégé

A substrate restraining system comprising: a substrate table and a plurality of circumferentially arranged restrainers each comprising a spring, wherein the spring has a proximal end and a distal end, wherein the distal end of the spring is radially displacable, and wherein a base of the proximal end of the spring is fixed to the substrate table at a fixing location.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

2.

METHODS AND APPARATUSES FOR SPATIALLY FILTERING OPTICAL PULSES

      
Numéro d'application 18273478
Statut En instance
Date de dépôt 2022-01-12
Date de la première publication 2024-04-25
Propriétaire
  • ASML NETHERLANDS B.V. (Pays‑Bas)
  • ASML HOLDING N.V. (Pays‑Bas)
Inventeur(s)
  • Alsaqqa, Ali
  • Uebel, Patrick Sebastian
  • Teunissen, Paulus Antonius Andreas

Abrégé

An optical filter apparatus including an optical divergence device, operable to receive optical pulses and spatially distribute the optical pulses over an optical plane in dependence with a pulse energy of each of the optical pulses; and a spatial filter, located at the optical plane, operable to apply spatial filtering to the optical pulses based on a location of each of the optical pulses at the optical plane resulting from the spatial distributing.

Classes IPC  ?

  • G02B 26/08 - Dispositifs ou dispositions optiques pour la commande de la lumière utilisant des éléments optiques mobiles ou déformables pour commander la direction de la lumière
  • G02B 27/09 - Mise en forme du faisceau, p.ex. changement de la section transversale, non prévue ailleurs

3.

Method and Apparatus for Coherence Scrambling in Metrology Applications

      
Numéro d'application 17768851
Statut En instance
Date de dépôt 2020-09-29
Date de la première publication 2024-04-25
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Zhou, Zili
  • Ravensbergen, Janneke

Abrégé

Disclosed is a pupil shaping arrangement for obtaining a defined pupil intensity profile for a metrology illumination beam configured for use in a metrology application. The pupil shaping arrangement comprises an engineered diffuser (ED) having a defined far-field profile configured to impose said defined pupil intensity profile on said metrology illumination beam. The pupil shaping arrangement may further comprise a multimode fiber (MMF) and be configured to reduce spatial coherence of coherent radiation.

Classes IPC  ?

  • G02B 27/09 - Mise en forme du faisceau, p.ex. changement de la section transversale, non prévue ailleurs

4.

METHODS AND APPARATUS FOR CHARACTERIZING A SEMICONDUCTOR MANUFACTURING PROCESS

      
Numéro d'application 18277223
Statut En instance
Date de dépôt 2022-02-07
Date de la première publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Klein Koerkamp, Niek Willem
  • Hauptmann, Marc
  • Keyvani Janbahan, Aliasghar
  • Wang, Jingchao

Abrégé

Methods and apparatus for characterizing a semiconductor manufacturing process performed on a substrate. First data is obtained associated with fingerprint data of the substrate measured after a first processing step. Second data is obtained associated with fingerprint data of the substrate measured after a second processing step. A statistical model is used to decompose the first and second data into a first class of fingerprint components mutually correlating between the first and second data and a second class of fingerprint components not mutually correlating between the first and second data. At least one of the first class fingerprint of components and the second class of fingerprint components are used to characterize the semiconductor manufacturing process.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

5.

OPERATING A METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHODS THEREOF

      
Numéro d'application 18279694
Statut En instance
Date de dépôt 2022-02-03
Date de la première publication 2024-04-25
Propriétaire
  • ASML Netherlands B.V. (Pays‑Bas)
  • ASML Holding N.V. (Pays‑Bas)
Inventeur(s)
  • Van Goch, Bram Paul Theodoor
  • Kunnen, Johan
  • Na, Sae Na

Abrégé

A method includes detecting data associated with a patterning device and/or a lithographic apparatus, performing an action from a plurality of actions when a determination not to proceed is made, and performing the action on the patterning device and/or a lithographic apparatus.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 1/84 - Inspection

6.

SEMICONDUCTOR DETECTOR AND METHOD OF FABRICATING SAME

      
Numéro d'application 18499141
Statut En instance
Date de dépôt 2023-10-30
Date de la première publication 2024-04-25
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Lorito, Gianpaolo
  • Nihtianov, Stoyan
  • Liang, Xinqing
  • Kanai, Kenichi

Abrégé

The present disclosure describes a detector used in critical dimension scanning electron microscopes (CD-SEM) and review SEM systems. In one embodiment, the detector includes a semiconductor structure having a p-n junction and a hole through which a scanning beam is passed to a target. The detector also includes a top electrode for the p-n junction (e.g., anode or cathode) that provides an active area for detecting electrons or electromagnetic radiation (e.g., backscattering from the target). The top electrode has a doped layer and can also have a buried portion beneath the doped layer to reduce a series resistance of the top electrode without changing the active area. In another embodiment, an isolation structure can be formed in the semiconductor structure near sidewalls of the hole to electrically isolate the active area from the sidewalls. A method for forming the buried portion of the top electrode is also described.

Classes IPC  ?

  • H01L 31/115 - Dispositifs sensibles au rayonnement d'ondes très courtes, p.ex. rayons X, rayons gamma ou rayonnement corpusculaire
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

7.

CONCURRENT AUTO FOCUS AND LOCAL ALIGNMENT METHODOLOGY

      
Numéro d'application EP2023076434
Numéro de publication 2024/083451
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Chen, Te-Yu

Abrégé

A particle beam inspection apparatus, and more particularly, a method of a concurrent focus and image alignment of an inspection image for image enhancement are disclosed. A method for enhancing an inspection image comprises acquiring a plurality of inspection images according to a plurality of focus conditions for an area of a sample containing a pattern, determining whether an inspection image of the plurality of inspection images has a focus index within a first threshold range, and in response to a determination that the inspection image has a focus index within the first threshold range, performing an image alignment using the inspection image.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G06T 7/33 - Détermination des paramètres de transformation pour l'alignement des images, c. à d. recalage des images utilisant des procédés basés sur les caractéristiques

8.

PARTICLE TRANSFER APPARATUS AND METHODS

      
Numéro d'application EP2023076865
Numéro de publication 2024/083470
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Beukman, Arjan, Johannes, Anton
  • Venugopalan, Syam, Parayil
  • Akbulut, Duygu
  • De Jager, Pieter, Willem, Herman

Abrégé

A particle transfer system, including: a particle trap apparatus configured to trap a plurality of particles; and a particle conveyance structure configured to convey the particles in parallel from the particle trap apparatus to a substrate. In an aspect, the particle transfer system is included in a patterning system for generating a pattern on a substrate.

Classes IPC  ?

  • B82Y 10/00 - Nanotechnologie pour le traitement, le stockage ou la transmission d’informations, p.ex. calcul quantique ou logique à un électron
  • B82Y 40/00 - Fabrication ou traitement des nanostructures
  • C23C 14/00 - Revêtement par évaporation sous vide, pulvérisation cathodique ou implantation d'ions du matériau composant le revêtement
  • G21K 1/00 - Dispositions pour manipuler des particules ou des rayonnements ionisants, p.ex. pour focaliser ou pour modérer
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

9.

LITHOGRAPHIC APPARATUS AND METHOD

      
Numéro d'application EP2023074882
Numéro de publication 2024/083404
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Van Berkel, Koos
  • Leenders, Martinus, Hendrikus, Antonius

Abrégé

A lithographic apparatus comprising a reflector for reflecting radiation. The reflector comprises a body, a reflective surface arranged on the body, and a channel formed in the body for conveying a fluid. The lithographic apparatus comprises a controller configured to adjust a pressure of the fluid in the channel to control a deformation of the reflective surface and thereby control an overlay of the lithographic apparatus.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

10.

METHOD OF PREDICTING A PARAMETER OF INTEREST IN A SEMICONDUCTOR MANUFACTURING PROCESS

      
Numéro d'application EP2023076119
Numéro de publication 2024/083435
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Roy, Sarathi
  • Werkman, Roy
  • Manneke, Daan

Abrégé

Described is a method for predicting a parameter of interest of a manufacturing process for manufacturing integrated circuits. The method comprises: obtaining metrology data relating to the parameter of interest; applying a first prediction sub-module to said metrology data to obtain non-anomalous prediction data; detecting anomalies in said metrology data (e.g., using an anomaly detection module); dividing said anomalies into systematic anomalies and non-systematic anomalies; using a first prediction strategy on said non-systematic anomalies to obtain first anomaly prediction data; using a second prediction strategy on said systematic anomalies to obtain second anomaly prediction data; wherein said first prediction strategy is different to said second prediction strategy; and combining said first anomaly prediction data and/or second anomaly prediction data with said non-anomalous prediction data to obtain a prediction of the parameter of interest.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

11.

ELECTRICAL CONNECTION TESTING

      
Numéro d'application EP2023078718
Numéro de publication 2024/083769
Statut Délivré - en vigueur
Date de dépôt 2023-10-16
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Gaury, Benoit, Herve
  • De Poortere, Etienne, Pierre, L.
  • Huisman, Thomas, Jarik
  • Garming, Mathijs, Wouter, Henk

Abrégé

A method for testing an array of devices, each having an electrical connection between two electrodes controllable by a signal applied to a control element, comprises: applying a reference electric potential to a first electrode of the two electrodes of each device; directing a charged particle beam onto a second electrode of the two electrodes of each device; varying a signal applied to the control element of each device; and monitoring, for each signal applied, signal charged particles from the second electrode of each device.

Classes IPC  ?

  • G01R 31/307 - Test sans contact utilisant des faisceaux électroniques de circuits intégrés
  • G01R 31/311 - Test sans contact utilisant des rayonnements électromagnétiques non ionisants, p.ex. des rayonnements optiques de circuits intégrés

12.

CHARGED PARTICLE-OPTICAL DEVICE, CHARGED PARTICLE APPARATUS AND METHOD

      
Numéro d'application 18402585
Statut En instance
Date de dépôt 2024-01-02
Date de la première publication 2024-04-25
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Slot, Erwin

Abrégé

A method for projecting a charged particle multi-beam toward a sample comprises manipulating respective sub-beams of a charged particle multi-beam using a control lens array comprising a plurality of control lenses for the respective sub-beams; controlling the control lens array to manipulate the sub-beams such that the sub-beams are shaped by respective apertures of a beam shaping aperture array such that less than a threshold current of charged particles of each sub-beam passes through the respective apertures of the beam shaping aperture array, down-beam of the control lens array, comprising a plurality of apertures for the respective sub-beams; and controlling the control lens array to manipulate the sub-beams such that at least the threshold current of at least a proportion of the sub-beams passes through the respective apertures of the beam shaping aperture array.

Classes IPC  ?

  • H01J 37/141 - Lentilles électromagnétiques
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

13.

METHOD TO DETERMINE AN ABSOLUTE POSITION OF AN OBJECT, INTERFEROMETER SYSTEM, PROJECTION SYSTEM AND LITHOGRAPHIC APPARATUS

      
Numéro d'application EP2023076202
Numéro de publication 2024/083441
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Kwee, Patrick

Abrégé

The invention provides a method to determine an absolute position of an object using an interferometer system, comprising the steps of: providing a light beam; splitting the light beam in a measurement beam and a reference beam; guiding the measurement beam along a measurement path towards a reflective measurement surface on the object; guiding the reference beam along a reference path towards a reflective reference surface on a reference object; receiving the measurement beam after reflection on the reflective measurement surface and the reference beam after reflection on the reflective reference surface at a detector; measuring a phase signal based on the measurement beam and the reference beam received by the detector, separating a cyclic error phase component from the phase signal, determining the absolute position of the object on the basis of the cyclic error phase component.

Classes IPC  ?

  • G01B 9/02001 - Interféromètres caractérisés par la commande ou la génération des propriétés intrinsèques du rayonnement
  • G01B 9/02055 - Interféromètres Étalonnage

14.

APPARATUS AND METHODS FOR FILTERING MEASUREMENT RADIATION

      
Numéro d'application EP2023077959
Numéro de publication 2024/083559
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Zhou, Zili
  • Arabul, Mustafa, Ümit
  • Bruls, Dominique, Maria
  • Van Voorst, Peter, Danny
  • Van Greevenbroek, Hendrikus, Robertus, Marie
  • Baselmans, Johannes, Jacobus, Matheus
  • Eurlings, Markus, Franciscus, Antonius

Abrégé

A source selection module comprising an adjustable diffraction element comprising multiple pixels. The source selection module further comprises a light dispersive element configured for receiving a first and a second light. The light dispersive element distributes the first light over a first pixel to generate a zeroth and a non-zeroth diffraction order. The light dispersive element further spatially distributes the second light over a second pixel to generate a zeroth and a non-zeroth diffraction order. The source selection module comprises a continuous variable filter comprising a first and a second area. The zeroth order of the first light received by the first area and the zeroth order of the second light received by the second area are transmitted or reflected. The non-zeroth order of the first light is blocked outside of the first area, and the non-zeroth order of the second light is blocked outside of the second area.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G02B 27/10 - Systèmes divisant ou combinant des faisceaux
  • G02B 26/08 - Dispositifs ou dispositions optiques pour la commande de la lumière utilisant des éléments optiques mobiles ou déformables pour commander la direction de la lumière

15.

A SLIT VALVE ASSEMBLY FOR USE IN A VACUUM CHAMBER, FOR EXAMPLE IN A VACUUM CHAMBER OF A SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application EP2023077262
Numéro de publication 2024/083493
Statut Délivré - en vigueur
Date de dépôt 2023-10-02
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Classens, Wilhelmus, Peter, Johannes
  • De Vries, Sjoerd, Frans
  • Dos Santos, Ricardo, Manuel, Dias, Romão
  • Shestakov, Dmitriy Anatoljevitsj
  • Van Empel, Tjarko, Adriaan, Rudolf

Abrégé

A slit valve assembly for use in a vacuum chamber, for example in a vacuum chamber of a substrate processing system is proposed, wherein the slit valve assembly comprises a housing having sidewalls and at least one substrate transfer port formed therein, the housing having an interior volume defined by the sidewalls; a slit valve door disposed within the housing and positionable between an open position, wherein the slit valve door is clear of the substrate transfer port and a closed position, wherein the slit valve door abuts against and seals the substrate transfer port along a sealing circumference; an actuator coupled to the slit valve door and operable to move the slit valve door between the open and closed positions; and means in the form of stray particle interacting elements to reduce particle kinetic energy of stray particles entering the interior volume through at least one substrate transfer port.

Classes IPC  ?

  • F16K 3/02 - Robinets-vannes ou tiroirs, c. à d. dispositifs obturateurs dont l'élément de fermeture glisse le long d'un siège pour l'ouverture ou la fermeture à faces d'obturation planes; Garnitures d'étanchéité à cet effet
  • F16K 27/04 - Structures des logements; Matériaux utilisés à cet effet des tiroirs
  • F16K 51/02 - Autres détails non particuliers aux types de soupapes ou clapets ou autres appareils d'obturation spécialement conçus pour les installations de vide poussé
  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

16.

DEFECT MAP BASED D2D ALIGNMENT OF IMAGES FOR MACHINE LEARNING TRAINING DATA PREPARATION

      
Numéro d'application EP2023076163
Numéro de publication 2024/083437
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-04-25
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Kang, Daekwon
  • Zhang, Chen
  • Tao, Jun
  • Liang, Jiao
  • Zhao, Qian
  • Feng, Mu

Abrégé

Described herein is a method for die-to-die (D2D) image alignment using a defect map associated with an image. The method includes accessing a set of images of a substrate, which correspond to different image capture conditions. The locations of various defects on the set of images are obtained and a defect map indicating relative locations of at least some of the defects is generated. The set of images are aligned with each other using the defect map to generate an aligned set of images.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G06T 7/00 - Analyse d'image
  • G06N 3/08 - Méthodes d'apprentissage
  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube

17.

CHARGED PARTICLE APPARATUS AND METHOD

      
Numéro d'application 18530109
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-04-18
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Van Soest, Jurgen
  • Veenstra, Roy Ramon
  • Smakman, Erwin Paul
  • Van Zutphen, Tom
  • Mangnus, Albertus Victor Gerardus

Abrégé

The disclosure relates to a charged particle beam apparatus configured to project charged particle beams towards a sample. The charged particle beam apparatus comprises: a plurality of charged particle-optical columns configured to project respective charged particle beams towards the sample, wherein each charged particle-optical column comprises: a charged particle source configured to emit the charged particle beam towards the sample, the charged particle sources being comprised in a source array; an objective lens comprising an electrostatic electrode configured to direct the charged particle beam towards the sample; and a detector associated with the objective lens array, configured to detect signal charged particles emitted from the sample. The objective lens is the most down-beam element of the charged particle-optical column configured to affect the charged particle beam directed towards the sample.

Classes IPC  ?

  • H01J 37/12 - Lentilles électrostatiques
  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée

18.

AN ABERRATION CORRECTION OPTICAL SYSTEM

      
Numéro d'application EP2023075254
Numéro de publication 2024/078813
Statut Délivré - en vigueur
Date de dépôt 2023-09-14
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Tukker, Teunis, Willem
  • Van Voorst, Peter, Danny
  • Zhou, Zili
  • Van Greevenbroek, Hendrikus, Robertus, Marie

Abrégé

An optical arrangement for aberration correction, comprising: a beam dispersing element for spatially dispersing a broadband radiation beam in a first transverse direction; a focusing lens for focusing the broadband radiation beam subsequently to said dispersing, wherein said focusing lens is arranged such that the dispersed broadband radiation beam passes through at least one off-center position of the focusing lens in at least one pass, wherein said off-center position is a position displaced from a center of the focusing lens in a second transverse direction, wherein the first transverse direction and the second transverse direction are mutually perpendicular and parallel to a focal plane of the focusing lens; and at least one aberration compensating lens displaced in the second transverse direction with respect to at least part of the broadband radiation beam so as to substantially compensate for lateral chromatic aberration.

Classes IPC  ?

  • G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G02B 5/18 - Grilles de diffraction
  • G02B 26/08 - Dispositifs ou dispositions optiques pour la commande de la lumière utilisant des éléments optiques mobiles ou déformables pour commander la direction de la lumière
  • G02B 27/10 - Systèmes divisant ou combinant des faisceaux
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

19.

CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Numéro d'application EP2023075534
Numéro de publication 2024/078821
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Van Weperen, Ilse

Abrégé

A charged particle assessment system comprising: a charged-particle beam apparatus configured to direct a charged particle beam onto a sample so that secondary particles and backscattered particles are generated in response to the charged particle beam; an array of sensing elements configured to generate electrical signals in response to incident secondary particles or backscattered particles from the sample; and a controller configured to selectively activate a first subset (333) of the set of sensing elements, to selectively deactivate a second subset (332) of the set of sensing elements and to combine the electrical signals of the selected subset into a detector output signal, wherein the selective activation and selective deactivation are based on a predicted distribution of secondary particles or backscattered particles. The application also concerns a non-transitory computer-readable medium including a set of instructions that is executable by one or more processors of a controller to cause the controller control a charged particle assessment system to perform a corresponding method of configuring a detector having an array of sensing elements.

Classes IPC  ?

  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

20.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD OF ALIGNING A SAMPLE IN A CHARGED PARTICLE ASSESSMENT SYSTEM

      
Numéro d'application 18397896
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Slot, Erwin

Abrégé

Disclosed herein is a method of aligning a sample in a charged particle assessment system. The system comprises a support for supporting a sample, and is configured to project charged particles in a multi-beam towards a sample along a multi-beam path, the multi-beam comprising an arrangement of beamlets, and to detect signal particles emitted from the sample in response to a corresponding beamlet of the multi-beam. The method comprises: directing the multi-beam of charged particles along the multi-beam path towards an alignment feature of the sample, such that the field of view of the multi-beam of charged particles encompasses the alignment feature; detecting the signal particles emitted from the sample; generating a dataset representative of the alignment feature based on the detecting of the signal particles; and determining a global alignment of the sample with respect to the multi-beam path, using the dataset.

Classes IPC  ?

  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée
  • H01J 37/04 - Dispositions des électrodes et organes associés en vue de produire ou de commander la décharge, p.ex. dispositif électronoptique, dispositif ionoptique
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions

21.

PROCESS WINDOW BASED ON DEFECT PROBABILITY

      
Numéro d'application 18511454
Statut En instance
Date de dépôt 2023-11-16
Date de la première publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Slachter, Abraham
  • Hunsche, Stefan
  • Tel, Wim Tjibbo
  • Van Oosten, Anton Bernhard
  • Van Ingen Schenau, Koenraad
  • Rispens, Gijsbert
  • Peterson, Brennan

Abrégé

A method including obtaining (i) measurements of a parameter of the feature, (ii) data related to a process variable of a patterning process, (iii) a functional behavior of the parameter defined as a function of the process variable based on the measurements of the parameter and the data related to the process variable, (iv) measurements of a failure rate of the feature, and (v) a probability density function of the process variable for a setting of the process variable, converting the probability density function of the process variable to a probability density function of the parameter based on a conversion function, where the conversion function is determined based on the function of the process variable, and determining a parameter limit of the parameter based on the probability density function of the parameter and the measurements of the failure rate.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

22.

METHOD FOR RULE-BASED RETARGETING OF TARGET PATTERN

      
Numéro d'application 17769107
Statut En instance
Date de dépôt 2020-09-24
Date de la première publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Hamouda, Ayman

Abrégé

A method for generating a retargeted pattern for a target pattern to be printed on a substrate. The method includes obtaining (i) the target pattern comprising at least one feature, the at least one feature having geometry including a first dimension and a second dimension, and (ii) a plurality of biasing rules defined as a function of the first dimension, the second dimension, and a property associated with features of the target pattern within a measurement region; determining values of the property at a plurality of locations on the at least one feature of the target pattern, each location surrounded by the measurement region; selecting, from the plurality of biasing rules based on the values of the property, a sub-set of biases; and generating the retargeted pattern by applying the selected sub-set of biases to the at least one feature of the target pattern.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement

23.

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

      
Numéro d'application 18392494
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Ren, Weiming
  • Liu, Xuedong
  • Hu, Xuerang
  • Chen, Zhongwei

Abrégé

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit forms plural and parallel images of one single electron source by deflecting plural beamlets of a parallel primary-electron beam therefrom, and one objective lens focuses the plural deflected beamlets onto a sample surface and forms plural probe spots thereon. A movable condenser lens is used to collimate the primary-electron beam and vary the currents of the plural probe spots, a pre-beamlet-forming means weakens the Coulomb effect of the primary-electron beam, and the source-conversion unit minimizes the sizes of the plural probe spots by minimizing and compensating the off-axis aberrations of the objective lens and condenser lens.

Classes IPC  ?

  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée
  • H01J 37/06 - Sources d'électrons; Canons à électrons
  • H01J 37/10 - Lentilles
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

24.

METHOD FOR OPERATING A DETECTION SYSTEM OF A METROLOGY DEVICE AND ASSOCIATED METROLOGY DEVICE

      
Numéro d'application EP2023074551
Numéro de publication 2024/078792
Statut Délivré - en vigueur
Date de dépôt 2023-09-07
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Van Der Post, Sietse, Thijmen
  • Scherjon, Martinus Paulus, Maria

Abrégé

Disclosed is a method of reading out a detection arrangement, said detection arrangement defining a detection area in terms of plurality of pixels. The method comprises receiving scattered radiation on said detection arrangement; dividing the detection area into at least two different regions of interest based at least on a measurement parameter of said scattered radiation; and employing a respective different readout scheme for each said regions of interest, so as to read out said detection arrangement.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H04N 25/443 - Extraction de données de pixels provenant d'un capteur d'images en agissant sur les circuits de balayage, p.ex. en modifiant le nombre de pixels ayant été échantillonnés ou à échantillonner en lisant partiellement une matrice de capteurs SSIS en lisant les pixels de zones 2D sélectionnées de la matrice, p. ex. pour le fenêtrage ou le zoom numérique

25.

SUBSTRATE SUPPORT QUALIFICATION

      
Numéro d'application EP2023074956
Numéro de publication 2024/078802
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Berendsen, Christianus, Wilhelmus, Johannes
  • Van Oene, Maarten, Marinus
  • Rops, Cornelius, Maria
  • Ammerlaan, Johannes, Andreas, Maria
  • Gattobigio, Giovanni, Luca

Abrégé

Disclosed herein is a stand-alone qualification system for determining at least one operating characteristic of a fluid extraction system of a substrate support, the qualification system comprising: an extraction support system configured to support a two-phase fluid extraction by the fluid extraction system; and a measurement system configured to determine at least one operating characteristic of the fluid extraction system in dependence on the two-phase fluid extraction.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

26.

INSPECTION SYSTEMS USING METASURFACE AND INTEGRATED OPTICAL SYSTEMS FOR LITHOGRAPHY

      
Numéro d'application EP2023075424
Numéro de publication 2024/078818
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Jahani, Saman
  • Van Engelen, Jorn, Paul
  • Rezvani Naraghi, Roxana
  • Setija, Irwan, Dani

Abrégé

An inspection system includes an integrated optical system with a substrate, waveguide system, and first and second grating couplers disposed on the substrate, first and second detectors, and a micro-structured illumination adjuster. The integrated optical system receives first through fourth portions of illuminations scattered from a target having corresponding first through fourth wavelengths. The first through fourth grating couplers launch the first through fourth portions into the waveguide system. The first and second wavelengths are different from the third and fourth wavelengths. The first detector receives a combination of the first and second portions to generate a first measurement signal. The second detector receives a combination of the third and fourth portions to generate a second measurement signal. The micro-structured illumination adjuster includes first through fourth micro-structured regions to direct the first through fourth portions to corresponding ones of the first through fourth grating couplers.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G02B 6/00 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

27.

ELECTROSTATIC CLAMP WITH A STRUCTURED ELECTRODE BY POST BOND STRUCTURING

      
Numéro d'application EP2023075913
Numéro de publication 2024/078830
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Van Eden, Gustaaf, Galein
  • Raaymakers, Jeroen, Arnoldus, Leonardus, Johannes
  • Uitterdijk, Tammo

Abrégé

Disclosed herein are embodiments that relate to an electrostatic wafer clamps and methods for forming and modifying electrode structures for electrostatic wafer clamps. Wafer clamps include electrode structures in a dielectric layer with a plurality of burls interconnected via grounding lines. By modifying the electrode structures near the grounding lines by post bond structuring or the like, the electric field can be reduced, resulting in lower cycle inducing charging.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

28.

MIRROR LAYER AND MIRROR FOR A LITHOGRAPHIC APPARATUS

      
Numéro d'application EP2023077038
Numéro de publication 2024/078895
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Houweling, Zomer, Silvester
  • Donmez Noyan, Inci

Abrégé

There is provided a mirror layer for a lithographic apparatus comprising at least one element which forms a chemical bond with silicon having a bond dissociation energy of at least 447 kJ mol-1. Also provided is a method of manufacturing such a mirror layer, a mirror including a mirror layer described herein, and a lithographic apparatus comprising such a mirror layer or mirror. Also described is the use of molybdenum silicon sulphide, oxide, selenide, or fluoride in a mirror layer or mirror and the use of such a mirror layer or mirror in a lithographic apparatus or method.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

29.

ALIGNMENT OF ELECTRON-OPTICAL ELEMENTS

      
Numéro d'application EP2023077229
Numéro de publication 2024/078910
Statut Délivré - en vigueur
Date de dépôt 2023-10-02
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • De Langen, Johannes, Cornelis, Jacobus
  • Koning, Johan, Joost
  • Scheffers, Paul, Ijmert
  • Del Tin, Laura
  • Steunebrink, Martin

Abrégé

A stack of planar elements for a charged particle-optical module configured to project charged particles along a beam path, the stack comprising: a pair of adjoining planar elements arranged across the beam path, wherein one of the planar elements comprises an alignment fiducial and the other of the planar elements comprises a monitoring aperture; wherein the pair of planar elements are positioned relative to each other such that the alignment fiducial and the monitoring aperture are aligned with each other in a direction substantially perpendicular to a plane of the planar elements.

Classes IPC  ?

  • H01J 37/04 - Dispositions des électrodes et organes associés en vue de produire ou de commander la décharge, p.ex. dispositif électronoptique, dispositif ionoptique
  • H01J 37/09 - Diaphragmes; Ecrans associés aux dispositifs électronoptiques ou ionoptiques; Compensation des champs perturbateurs

30.

ELECTRON-OPTICAL ELEMENT

      
Numéro d'application EP2023077260
Numéro de publication 2024/078912
Statut Délivré - en vigueur
Date de dépôt 2023-10-02
Date de publication 2024-04-18
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Koning, Johan, Joost
  • Del Tin, Laura

Abrégé

A charged particle-optical element for a charged particle-optical module configured to direct charged particles along at least one beam path, the charged particle-optical element comprising: a substrate comprising at least one aperture for passage therethrough of the at least one beam path; at least one electronic component so as to provide a component surface of the substrate; and an electrical connector electrically connected to the at least one electronic component and extending through the substrate; wherein the substrate comprises a thicker portion and a thinner portion that is thinner than the thicker portion, and the electrical connector extends through the thinner portion.

Classes IPC  ?

  • H01J 37/24 - Circuits non adaptés à une application particulière du tube et non prévus ailleurs
  • H01J 37/244 - Détecteurs; Composants ou circuits associés

31.

METROLOGY TARGET SIMULATION

      
Numéro d'application 18265755
Statut En instance
Date de dépôt 2021-12-08
Date de la première publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Van Kraaij, Markus Gerardus Martinus Maria

Abrégé

A method of simulating an electromagnetic response of a metrology target comprising first and second gratings, wherein the second grating is below the first grating, the method comprising: receiving a model defining (i) the first grating as having a first number of grating lines within a pitch, each of the first number of grating lines separated by a first pitch; and (ii) the second grating as having a second number of grating lines within the pitch, each of the second number of grating lines separated by a second pitch; using the model and the first pitch to simulate properties of the first grating and generate a first scattering matrix; using the model and the second pitch to simulate properties of the second grating and generate a second scattering matrix; generating a scattering matrix defining properties of the metrology target by combining the first scattering matrix and the second scattering matrix.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

32.

METHODS AND SYSTEM FOR DETERMINING ABERRATIONS OF A PROJECTION SYSTEM

      
Numéro d'application EP2023075234
Numéro de publication 2024/074276
Statut Délivré - en vigueur
Date de dépôt 2023-09-14
Date de publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Geelen, Paul, Jean, Maurice

Abrégé

Methods, and corresponding systems for, determining one or more aberrations of a projection system (for example a projection system of a lithographic apparatus) are disclosed. One method comprises performing a phase stepping or phase scanning process using a first patterning device (at object level) that comprises a specular diffraction grating. Also disclosed is a calibration method for determining calibration data which characterizes any differences between: aberrations of a projection system determined using a diffusive grating at object level and aberrations of a projection system determined using a specular grating at object level.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01M 11/02 - Test des propriétés optiques
  • G01B 9/02098 - Interféromètres à cisaillement
  • G01J 9/02 - Mesure du déphasage des rayons lumineux; Recherche du degré de cohérence; Mesure de la longueur d'onde des rayons lumineux par des méthodes interférométriques
  • G01M 11/00 - Test des appareils optiques; Test des structures ou des ouvrages par des méthodes optiques, non prévu ailleurs

33.

ELECTRON-OPTICAL MODULE

      
Numéro d'application EP2023075609
Numéro de publication 2024/074292
Statut Délivré - en vigueur
Date de dépôt 2023-09-18
Date de publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • De Langen, Johannes, Cornelis, Jacobus
  • Koning, Johan, Joost
  • Del Tin, Laura
  • Doesburg, Olivier, Jacob
  • Zijl, Gomaar

Abrégé

A charged particle-optical module (41) for directing charged particles along a path towards a sample location, the charged particle-optical module comprises: a plurality of planar elements or electrodes (61-64) arranged across the path and configured to operate on the charged particles; a thermal conditioning channel 80 spaced from the planar elements in a direction through the plurality of elements; and a thermally conductive plate (61-64;240;75) connected to the thermal conditioning channel for transferring heat towards the thermal conditioning channel; wherein the thermally conductive plate extends between the planar elements and the thermal conditioning channel in a direction parallel to one or more of the planar elements.

Classes IPC  ?

  • H01J 37/04 - Dispositions des électrodes et organes associés en vue de produire ou de commander la décharge, p.ex. dispositif électronoptique, dispositif ionoptique
  • H01J 37/12 - Lentilles électrostatiques

34.

A MACHINE LEARNING MODEL USING TARGET PATTERN AND REFERENCE LAYER PATTERN TO DETERMINE OPTICAL PROXIMITY CORRECTION FOR MASK

      
Numéro d'application 18276018
Statut En instance
Date de dépôt 2022-01-31
Date de la première publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Zhang, Quan
  • Chen, Been-Der
  • Fong, Wei-Chun
  • Zhu, Zhangnan
  • Boone, Robert Elliott

Abrégé

Described are embodiments for generating a post-optical proximity correction (OPC) result for a mask using a target pattern and reference layer patterns. Images of the target pattern and reference layers are provided as an input to a machine learning (ML) model to generate a post-OPC image. The images may be input separately or combined into a composite image (e.g., using a linear function) and input to the ML model. The images are rendered from pattern data. For example, a target pattern image is rendered from a target pattern to be printed on a substrate, and a reference layer image such as dummy pattern image is rendered from dummy pattern. The ML model is trained to generate the post-OPC image using multiple images associated with target patterns and reference layers, and using a reference post-OPC image of the target pattern. The post-OPC image may be used to generate a post-OPC mask.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G03F 1/36 - Masques à correction d'effets de proximité; Leur préparation, p.ex. procédés de conception à correction d'effets de proximité [OPC optical proximity correction]

35.

PELLICLE MEMBRANE FOR A LITHOGRAPHIC APPARATUS AND METHOD

      
Numéro d'application 18276248
Statut En instance
Date de dépôt 2022-02-03
Date de la première publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Vermeulen, Paul Alexander
  • Houweling, Zomer Silvester

Abrégé

A carbon nanotube membrane including carbon nanotubes having a pre-selected bonding configuration or (m, n) chirality, wherein the carbon nanotube membrane has a substantial amount of carbon nanotubes having zigzag (m, 0) chirality and/or armchair (m, m) chirality. An apparatus for the treatment of a carbon-based membrane, a method for treating carbon based membranes, pellicles including carbon based membranes, lithographic apparatuses includes carbon nanotube membranes, as well as the use of carbon nanotube membranes in lithographic apparatuses and methods are also described.

Classes IPC  ?

  • C01B 32/174 - Dérivatisation; Solubilisation dans les solvants; Dispersion dans les solvants
  • B01J 19/12 - Procédés utilisant l'application directe de l'énergie ondulatoire ou électrique, ou un rayonnement particulaire; Appareils à cet usage utilisant des radiations électromagnétiques
  • B01J 19/24 - Réacteurs fixes sans élément interne mobile
  • G03F 1/62 - Pellicules, p.ex. assemblage de pellicules ayant une membrane sur un cadre de support; Leur préparation
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

36.

ALIGNMENT METHOD AND ASSOCIATED ALIGNMENT AND LITHOGRAPHIC APPARATUSES

      
Numéro d'application 18276420
Statut En instance
Date de dépôt 2022-02-11
Date de la première publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Thissen, Nick Franciscus Wilhelmus
  • Karssemeijer, Leendert Jan

Abrégé

A method for determining a set of correction weights to correct metrology data. The method includes obtaining first metrology data relating to a plurality of illumination settings of measurement radiation used to perform a measurement, where each illumination setting includes a different wavelength, polarization or combination thereof; fitting the metrology data to a model for representing the metrology data and determining fit residuals; and determining the correction weights as correction weights which minimize the fit residuals.

Classes IPC  ?

  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

37.

CONFIGURATION OF PATTERNING PROCESS

      
Numéro d'application 18277014
Statut En instance
Date de dépôt 2022-02-25
Date de la première publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Ser, Jung Hoon
  • Park, Sungwoon
  • Lei, Xin
  • Jeong, Jinwoong
  • Zhao, Rongkuo
  • Hsu, Duan-Fu Stephen
  • Li, Xiaoyang

Abrégé

Methods for configuring a patterning process based on results of another patterning process is described. The method includes obtaining a first set of contours by simulating a first patterning process using a design layout in a first orientation. The contours satisfy a design specification associated with the design layout and correspond to a first set of process window conditions. A second patterning process is configured based on a second orientation of the design layout, the first set of process window conditions and the first set of contours. The second patterning process is associated with one or more design variables (e.g., illumination, mask pattern) that affect a second set of contours. The configuring includes adjusting one or more design variables until the second set of contours are within a desired matching threshold with the first set of contours.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

38.

METHODS OF FITTING MEASUREMENT DATA TO A MODEL AND MODELING A PERFORMANCE PARAMETER DISTRIBUTION AND ASSOCIATED APPARATUSES

      
Numéro d'application 17766585
Statut En instance
Date de dépôt 2020-10-05
Date de la première publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Keyvani Janbahan, Aliasghar
  • Spiering, Frans Reinier
  • Wildenberg, Jochem Sebastiaan
  • Mos, Everhardus Cornelis

Abrégé

A method of processing measurement data relating to a substrate processed by a manufacturing process. The method includes obtaining measurement data relating to a performance parameter for at least a portion of the substrate; and fitting the measurement data to a model by minimizing a complexity metric applied to fitting parameters of the model while not allowing the deviation between the measurement data and the fitted model to exceed a threshold value.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

39.

HOLLOW-CORE PHOTONIC CRYSTAL FIBER BASED BROADBAND RADIATION GENERATOR

      
Numéro d'application 18505860
Statut En instance
Date de dépôt 2023-11-09
Date de la première publication 2024-04-11
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Ravensbergen, Janneke
  • Uebel, Patrick Sebastian
  • Pongers, Willem Richard

Abrégé

A broadband radiation source device configured for generating a broadband output radiation upon receiving pump radiation, the device including: a hollow-core photonic crystal fiber (HC-PCF) including at least one structurally varied portion having at least one structural parameter of the HC-PCF varied with respect to one or more main portions of the HC-PCF, wherein the at least one structurally varied portion includes at least a structurally varied portion located downstream of a position along the length of the HC-PCF where the pump radiation will be spectrally expanded by a modulation instability dominated nonlinear optical process, and wherein the at least one structurally varied portion is configured and located such that the broadband output radiation includes wavelengths in the ultraviolet region.

Classes IPC  ?

  • G02F 1/35 - Optique non linéaire
  • G02B 6/02 - Fibres optiques avec revêtement
  • G02F 1/365 - Optique non linéaire dans une structure de guide d'ondes optique
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • H01S 3/067 - Lasers à fibre optique

40.

METHOD AND APPARATUS FOR CONTROLLING A LITHOGRAPHIC APPARATUS, AND A LITHOGRAPHIC APPARATUS

      
Numéro d'application EP2023074387
Numéro de publication 2024/074255
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Jin, Wenjie

Abrégé

A computer-implemented method of generating one or more control actions for controlling a lithographic apparatus. The lithographic apparatus comprises an illumination system for illuminating a mask with a non-uniform radiation beam. The illumination system is configured to receive from a radiation source a radiation beam, and comprising a beam-shaping device configured to receive data specifying profile information, and shape a transverse profile of the radiation beam based on the profile information to form the non-uniform radiation beam. The method comprises processing the profile information to generate an estimated diffraction pattern produced by illuminating the mask with the non-uniform radiation beam, and processing the estimated diffraction pattern to generate one or more control actions for a control system of the lithographic apparatus.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

41.

TUNABLE OPTICAL SYSTEM

      
Numéro d'application EP2023075460
Numéro de publication 2024/074286
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abrégé

Systems and methods for providing variable spot size and variable focus at a substrate are described. Sets of variable focal length lenses can be added to an alignment system to allow for adjustment of the spot size and focus. A variable focal length lens is a liquid lens that is tunable based on application of voltage across the lens. Toggling the voltage changes the water-oil interface in the liquid lens, which in turn changes the direction of light passing through. For example, turning on the voltage across the lens shifts the light output direction to converging at a focal point. As a result, variable focal length lenses provide adjustment to compensate for the fixed spot size and focus shortcomings of the prior art. Furthermore, variable focal length lenses can also be applied to compensate for spot shift and higher order diffraction orders.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

42.

ADC CALIBRATION FOR MICROSCOPY

      
Numéro d'application EP2023076057
Numéro de publication 2024/074314
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-04-11
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Mook, Hindrik, Willem
  • Dave, Dhara
  • Stylianou, Antri
  • Beugin, Vincent, Claude
  • Brandt, Pieter, Lucas
  • Martinez Negrete Gasque, Diego

Abrégé

A method of calibrating analog-to-digital converters, ADCs, of a charged particle-optical device comprises: providing, for each of the ADCs, image data of charged particles detected from a sample output by the ADC; calculating, for each of the ADCs, at least one statistical value from a distribution of the image data output by the ADC; and changing at least one setting of at least one of the ADCs based on the calculated at least one statistical values so as to compensate for any mismatch between the at least one statistical value of the ADCs.

Classes IPC  ?

  • H03M 1/10 - Calibrage ou tests
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

43.

COOLING DEVICE FOR COOLING A POSITION-SENSITIVE COMPONENT OF A LITHOGRAPHY SYSTEM

      
Numéro d'application EP2023072998
Numéro de publication 2024/068138
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-04-04
Propriétaire
  • CARL ZEISS SMT GMBH (Allemagne)
  • ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Fetzer, Matthias
  • Mettenleiter, Luca
  • Steijns, Richard

Abrégé

A cooling device (200) for cooling a position-sensitive component (102) of a lithography system (1), comprising a cooling line (206) with a liquid chamber (218) for conducting a cooling liquid (112) to the position-sensitive component (102) and a gas chamber (220) for receiving a gas (222), and an elastic separating membrane (224) which is arranged inside the cooling line (206) and separates the gas chamber (220) from the liquid chamber (218).

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G02B 7/18 - Montures, moyens de réglage ou raccords étanches à la lumière pour éléments optiques pour miroirs
  • G02B 27/64 - Systèmes pour donner des images utilisant des éléments optiques pour la stabilisation latérale et angulaire de l'image

44.

PARAMETERIZED INSPECTION IMAGE SIMULATION

      
Numéro d'application EP2023075167
Numéro de publication 2024/068280
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Yuan, Rui
  • Fan, Chi-Hsiang
  • Chang, Yi-Hsin
  • Wang, Fuming
  • Lin, Yun
  • Elmalk, Abdalmohsen

Abrégé

An improved method, apparatus, and system for generating a simulated inspection image are disclosed. According to certain aspects, the method comprises acquiring design data including a first pattern, generating a first gray level profile corresponding to the design data, and rendering an image using the generated first gray level profile.

Classes IPC  ?

45.

SCANNING ELECTRON MICROSCOPY (SEM) BACK-SCATTERING ELECTRON (BSE) FOCUSED TARGET AND METHOD

      
Numéro d'application EP2023076085
Numéro de publication 2024/068426
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Fu, Jiyou
  • Tabery, Cyrus, Emil
  • Gaury, Benoit, Herve

Abrégé

A method for evaluating a scanning electron microscope (SEM) system is provided, comprising accessing an SEM image of two or more sets of overlay targets, wherein each set of overlay targets comprises buried features and top features, the buried features at a buried depth, wherein, in at least one of the two or more sets of overlay targets, the top features are recessed, each of the recesses having a corresponding recess depth, wherein the recess depths for the top features of the two or more sets of overlay targets are different; and determining a beam tilt angle of a SEM system based on the SEM image of the two or more sets of overlay targets.

Classes IPC  ?

  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube

46.

NOVEL INTERFACE DEFINITION FOR LITHOGRAPHIC APPARATUS

      
Numéro d'application 18274990
Statut En instance
Date de dépôt 2021-12-20
Date de la première publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Klinkhamer, Jacob Fredrik Friso
  • Van Hinsberg, Michel Alphons Theodorus

Abrégé

A method for representing control parameter data for controlling a lithographic apparatus during a scanning exposure of an exposure field on a substrate, the method including: obtaining a set of periodic base functions, each base function out of the set of periodic base functions having a different frequency and a period smaller than a dimension associated with the exposure field across which the lithographic apparatus needs to be controlled; obtaining the control parameter data; and determining a representation of the control parameter data using the set of periodic base functions.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

47.

A METHOD OF DETERMINING A MEASUREMENT RECIPE AND ASSOCIATED METROLOGY METHODS AND APPARATUSES

      
Numéro d'application 18275663
Statut En instance
Date de dépôt 2022-01-12
Date de la première publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Van Laarhoven, Hendrik Adriaan
  • Van Den Broek, Bastiaan Maurice
  • Rutigliani, Vito Daniele

Abrégé

A method of determining a measurement recipe for measurement of in-die targets located within one or more die areas of an exposure field. The method includes obtaining first measurement data relating to measurement of a plurality of reference targets and second measurement data relating to measurement of a plurality of in-die targets, the targets having respective different overlay biases and measured using a plurality of different acquisition settings for acquiring the measurement data. One or more machine learning models are trained using the first measurement data to obtain a plurality of candidate measurement recipes, wherein the candidate measurement recipes include a plurality of combinations of a trained machine learned model and a corresponding acquisition setting; and a preferred measurement recipe is determined from the candidate measurement recipes using the second measurement data.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

48.

A METHOD FOR CHARACTERIZING A MANUFACTURING PROCESS OF SEMICONDUCTOR DEVICES

      
Numéro d'application 18387082
Statut En instance
Date de dépôt 2023-11-06
Date de la première publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Tel, Wim Tjibbo
  • Dillen, Hermanus Adrianus
  • Kea, Marc Jurian
  • Maslow, Mark John
  • Thuijs, Koen
  • Engblom, Peter David
  • Huijgen, Ralph Timotheus
  • Slotboom, Daan Maurits
  • Mulkens, Johannes Catharinus Hubertus

Abrégé

A method of determining a characteristic of one or more processes for manufacturing features on a substrate, the method including: obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain measured data of one or more dimensions of each of at least some of the plurality of features; determining a statistical parameter that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features; determining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and determining the characteristic of the one or more processes to have the probability of defective manufacture of features and the statistical parameter.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

49.

CHARGED PARTICLE APPARATUS

      
Numéro d'application EP2023074917
Numéro de publication 2024/068252
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Kuiper, Vincent, Sylvester
  • Scotuzzi, Marijke

Abrégé

The present invention provides a charged particle apparatus for projecting multiple beam grids of charged particle beams towards a plurality of samples. The apparatus comprises: a stage configured to support a plurality of samples at respective sample positions; and an array of charged particle devices respectively configured to project a plurality of charged particle beams in a beam grid towards the respective the sample positions. The charged particle devices respectively comprise: an objective lens configured to direct the beam grid of the charged particle device on a sample at the respective sample position; and a detector configured to detect signal particles from the sample. The stage is configured to be actuated relative to the array of charged particle devices. The stage and the array of charged particle devices are configured such that the array of charged particle devices scan relative to the plurality of samples simultaneously.

Classes IPC  ?

  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support

50.

TUNABLE OPTICAL SYSTEM

      
Numéro d'application EP2023075356
Numéro de publication 2024/068297
Statut Délivré - en vigueur
Date de dépôt 2023-09-14
Date de publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abrégé

Systems and methods for providing variable spot size and variable focus at a substrate are described. Sets of variable focal length lenses can be added to an alignment system to allow for adjustment of the spot size and focus. A variable focal length lens is a liquid lens that is tunable based on application of voltage across the lens. Toggling the voltage changes the water-oil interface in the liquid lens, which in turn changes the direction of light passing through. For example, turning on the voltage across the lens shifts the light output direction to converging at a focal point. As a result, variable focal length lenses provide adjustment to compensate for the fixed spot size and focus shortcomings of the prior art. Furthermore, variable focal length lenses can also be applied to compensate for spot shift and higher order diffraction orders.

Classes IPC  ?

  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

51.

SYSTEMS FOR PATH COMPENSATION WITH A MOVING OBJECTIVE

      
Numéro d'application EP2023075422
Numéro de publication 2024/068308
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-04
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Cappelli, Douglas, C.

Abrégé

Disclosed is a mirror set having a first mirror, a second mirror, and a movable stage to which the mirror set is mounted to cause the first mirror and the second mirror to move together with the movable stage. The first mirror is configured to receive a beam at a first angle from an axis of the mirror set and the second mirror is configured to provide the beam at a second angle from the axis of the mirror set, the beam providing an output after reflection by the second mirror. Movement of the mirror set parallel to the axis results in a parallel shift of the output along the beam movement of the mirror set perpendicular to the axis results in a perpendicular shift of the output perpendicular to the beam.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G02B 26/08 - Dispositifs ou dispositions optiques pour la commande de la lumière utilisant des éléments optiques mobiles ou déformables pour commander la direction de la lumière
  • G02B 26/10 - Systèmes de balayage

52.

APPARATUS FOR AND METHOD OF REDUCING CONTAMINATION FROM SOURCE MATERIAL IN AN EUV LIGHT SOURCE

      
Numéro d'application 18528933
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-03-28
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Ma, Yue
  • Labetski, Dzmitry
  • Laforge, Andrew David

Abrégé

Disclosed is a source for and method of generating extreme ultraviolet radiation in which spitting of molten target material is hindered through depletion of the number of hydrogen radicals available to enter deposits of molten target material and create hydrogen bubbles therein by introducing an active gas that reacts with the hydrogen radicals.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma

53.

METHOD OF COMPENSATING FOR AN EFFECT OF ELECTRODE DISTORTION, ASSESSMENT SYSTEM

      
Numéro d'application 18534455
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-03-28
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Wieland, Marco Jan-Jaco

Abrégé

Assessment systems and methods are disclosed. In one arrangement, an effect of electrode distortion in an objective lens array is compensated. An electrode distortion is adjusted by varying an electrostatic field in the objective lens array. The adjustment is such as to compensate for an effect of electrode distortion on sub-beams of a multi-beam impinging on a sample. A sub-beam is refocused in response to the variation in electrostatic field in the objective lens array. The adjusting and the refocusing comprises changing potentials applied to at least two electrodes of the objective lens array.

Classes IPC  ?

  • H01J 37/12 - Lentilles électrostatiques
  • H01J 37/153 - Dispositions électronoptiques ou ionoptiques pour la correction de défauts d'images, p.ex. stigmateurs
  • H01J 37/244 - Détecteurs; Composants ou circuits associés

54.

READOUT DESIGN FOR CHARGED PARTICLE COUNTING DETECTORS

      
Numéro d'application EP2023073279
Numéro de publication 2024/061566
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Wang, Yongxin
  • Studulski, Steven Michael

Abrégé

A charged particle beam detector may include a plurality of sensing elements, each sensing element being connected to a dedicated array of signal detection cells. Each signal detection cell may include a solid state current controlling device configured to rapidly extract charge from the sensing element during a detection event. Each signal detection cell may further include a simplified architecture that automatically enables the next signal detection cell once a valid detection happens. The detection cell may store the detection signal until a controller is ready to read the signal out. Thus, each detection cell may be enabled in rapid succession to receive and store a signal with a simple and robust architecture.

Classes IPC  ?

  • G01T 1/17 - Dispositions de circuits non adaptés à un type particulier de détecteur
  • G01T 1/24 - Mesure de l'intensité de radiation avec des détecteurs à semi-conducteurs

55.

OPTICAL ALIGNMENT SYSTEM AND METHOD

      
Numéro d'application EP2023073434
Numéro de publication 2024/061572
Statut Délivré - en vigueur
Date de dépôt 2023-08-25
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Van De Kerkhof, Marcus, Adrianus

Abrégé

An optical alignment system comprising an illumination system configured to condition a radiation beam to form a first off-axis monopole, a marker configured to diffract the first off-axis monopole to form zeroth and first diffraction orders, a projection system configured to collect the zeroth and first diffraction orders and form an image of the marker, and a sensor apparatus configured to detect the image of the marker.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

56.

SYSTEM AND METHOD FOR IMAGE DISTURBANCE COMPENSATION

      
Numéro d'application EP2023074093
Numéro de publication 2024/061596
Statut Délivré - en vigueur
Date de dépôt 2023-09-01
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Luo, Ying
  • Dong, Zhonghua

Abrégé

Systems, apparatuses, and methods include a generating a reference signal based on a power input for an imaging system; generating a scan signal indicating a scan of a sample; extracting, from an image generated from the scan, first and second disturbance data; generating a calibrated frequency, magnitude, and phase of the image disturbance using the extracted first and second disturbance data, the reference signal, and the scan signal; combining the calibrated frequency, magnitude, and phase of the image disturbance and the reference signal to generate a compensation signal; and using the compensation signal to control a plurality of deflection drivers used for manipulating charged particles to scan the sample.

Classes IPC  ?

  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage
  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube
  • H01J 37/26 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions

57.

SYSTEM AND METHOD FOR IMAGE RESOLUTION CHARACTERIZATION

      
Numéro d'application EP2023074498
Numéro de publication 2024/061632
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Luo, Xinan

Abrégé

Systems, apparatuses, and methods include a providing a raw image of a sample; observing a pixel size of the raw image; converting the raw image into a transformed image by applying a Fourier transform to the raw image; applying a function, based on the pixel size, to the transformed image; and determining a key performance indicator of a resolution of the raw image based on results of the applied function.

Classes IPC  ?

  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube

58.

POSITIONING SYSTEM FOR AN OPTICAL ELEMENT OF A METROLOGY APPARATUS

      
Numéro d'application EP2023075306
Numéro de publication 2024/061736
Statut Délivré - en vigueur
Date de dépôt 2023-09-14
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Suit, Brandon, Michael
  • Lomans, Bram, Antonius, Gerardus
  • Cappelli, Douglas, C.
  • Ochs, Jason

Abrégé

A positioning system for an optical element such as an objective of a metrology apparatus (e.g., an overlay measurement apparatus used in a semiconductor manufacturing process) is described. The positioning system comprises a stage and a positioner. The positioner comprises at least one flexible support coupled to the stage, with the at least one flexible support configured to be relatively stiff in an axial direction of the positioner, and relatively flexible in other directions. The positioner comprises a base coupled to the at least one flexible support, with the base configured to be actuated to move in the axial direction, and in turn move the stage. The positioner comprises a guide configured to couple the base to a frame of the metrology apparatus, with the guide configured to bend when the base is actuated.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

59.

APPARATUS AND METHOD FOR DETERMINING A CONDITION ASSOCIATED WITH A PELLICLE

      
Numéro d'application 18376237
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-03-28
Propriétaire
  • ASML NETHERLANDS B.V. (Pays‑Bas)
  • ASML HOLDING N.V. (Pays‑Bas)
Inventeur(s)
  • Brouns, Derk Servatius Gertruda
  • Adams, Joshua
  • Bendiksen, Aage
  • Jacobs, Richard
  • Judge, Andrew
  • Kottapalli, Veera Venkata Narasimha Narendra Phani
  • Lyons, Joseph Harry
  • Modderman, Theodorus Marinus
  • Ranjan, Manish
  • Van De Kerkhof, Marcus Adrianus
  • Xiong, Xugang

Abrégé

An apparatus for determining a condition associated with a pellicle for use in a lithographic apparatus, the apparatus including a sensor, wherein the sensor is configured to measure a property associated with the pellicle, the property being indicative of the pellicle condition.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 1/62 - Pellicules, p.ex. assemblage de pellicules ayant une membrane sur un cadre de support; Leur préparation
  • G03F 1/64 - Pellicules, p.ex. assemblage de pellicules ayant une membrane sur un cadre de support; Leur préparation caractérisés par les cadres, p.ex. du point de vue de leur structure ou de leur matériau

60.

FEATURE BASED CELL EXTRACTION FOR PATTERN REGIONS

      
Numéro d'application 18039701
Statut En instance
Date de dépôt 2021-11-24
Date de la première publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Lin, Yan-Ting

Abrégé

Systems and methods of feature-based cell extraction. The methods include obtaining data representative of a layout, wherein the layout includes a pattern region having no vertices, extracting unit cells from the pattern region having no vertices, identifying, using the unit cells, a set of regions of the layout matching the unit cells, and generating, using the unit cells, a hierarchy for the set of regions. In some embodiments the pattern regions have oblique angle features or have no vertices of features. The pattern regions can have a feature including a feature slope, a horizontal or a vertical pitch, or a line-space feature. In some embodiments the hierarchy is optimized using a linear optimization and can be provided for use in modeling, OPC, defect inspection, defect prediction, or SMO.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

61.

METHOD AND APPARATUS FOR BONDING SUBSTRATES

      
Numéro d'application EP2023073234
Numéro de publication 2024/061565
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Ravichandran, Arvind
  • Venugopalan, Syam, Parayil

Abrégé

A device for aligning and placing electrical components includes a first stage to support at least one first electrical component, each first electrical component having a plurality of conductive surfaces on a side opposite the first stage, a second stage to support at least one second electrical component, each second electrical component having a plurality of conductive surfaces on a side opposite the second stage, a voltage source to produce a voltage between the conductive surfaces of the first electrical components and conductive surfaces of the second electrical components, and a controller to control relative motion between the first stage and the second stage, and to align corresponding ones of the plurality of conductive surfaces of the first electrical component with corresponding ones of the plurality of conductive surfaces on the second electrical component at least partially on the basis of an electrostatic force therebetween.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

62.

METHOD OF FORMING A PATTERNED LAYER OF MATERIAL, APPARATUS FOR FORMING A PATTERNED LAYER OF MATERIAL

      
Numéro d'application EP2023073783
Numéro de publication 2024/061581
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-03-28
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Venugopalan, Syam, Parayil
  • De Jager, Pieter, Willem, Herman
  • Faramarzi, Vina

Abrégé

The disclosure relates to methods and apparatus for forming a patterned layer of material on a substrate. In one arrangement, a selected portion of a surface of a substrate is irradiated during a deposition process. The irradiation locally drives the deposition process in the selected portion and thereby forms a patterned layer of material in a pattern defined by the selected portion. A bias voltage of alternating polarity is applied to the substrate during the irradiation to periodically drive secondary electrons generated inside the substrate by the irradiation towards the surface in the selected portion.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet

63.

METROLOGY METHOD AND SYSTEM AND LITHOGRAPHIC SYSTEM

      
Numéro d'application 18269983
Statut En instance
Date de dépôt 2021-12-20
Date de la première publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Alpeggiani, Filippo
  • Belt, Harm Jan Willem
  • Goorden, Sebatianus Adrianus
  • Setija, Irwan Dani
  • Huisman, Simon Reinald
  • Pellemans, Henricus Petrus Maria

Abrégé

A method for measuring a parameter of interest from a target and associated apparatuses. The method includes obtaining measurement acquisition data relating to measurement of the target and finite-size effect correction data and/or a trained model operable to correct for at least finite-size effects in the measurement acquisition data. At least finite-size effects in the measurement acquisition data is corrected for using the finite-size effect correction data and/or the trained model to obtain corrected measurement data and/or obtain a parameter of interest; and where the correcting does not directly determine the parameter of interest, determining the parameter of interest from the corrected measurement data.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

64.

METHOD FOR GENERATING PATTERNING DEVICE PATTERN AT PATCH BOUNDARY

      
Numéro d'application 18382822
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-03-21
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Zhang, Quan
  • Cho, Yong-Ju
  • Zhu, Zhangnan
  • Huang, Boyang
  • Chen, Been-Der

Abrégé

A method for generating a mask pattern to be employed in a patterning process. The method including obtaining (i) a first feature patch including a first polygon portion of an initial mask pattern, and (ii) a second feature patch including a second polygon portion of the initial mask pattern; adjusting the second polygon portion at a patch boundary between the first feature patch and the second feature patch such that a difference between the first polygon portion and the second polygon portion at the patch boundary is reduced; and combining the first polygon portion and the adjusted second polygon portion at the patch boundary to form the mask pattern.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G03F 1/36 - Masques à correction d'effets de proximité; Leur préparation, p.ex. procédés de conception à correction d'effets de proximité [OPC optical proximity correction]
  • G03F 1/70 - Adaptation du tracé ou de la conception de base du masque aux exigences du procédé lithographique, p.ex. correction par deuxième itération d'un motif de masque pour l'imagerie
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

65.

PELLICLE AND METHODS FOR FORMING PELLICLE FOR USE IN A LITHOGRAPHIC APPARATUS

      
Numéro d'application EP2023074764
Numéro de publication 2024/056548
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-03-21
Propriétaire
  • ASML NETHERLANDS B.V. (Pays‑Bas)
  • IMEC V.Z.W. (Belgique)
Inventeur(s)
  • Vermeulen, Paul, Alexander
  • Gallagher, Emily, Elizabeth
  • Pollentier, Ivan, Karel, Arthur
  • Brems, Steven

Abrégé

A pellicle for use in a lithographic apparatus, the pellicle include: a membrane, the membrane having a first portion and a second portion; and a protective portion at the second portion on at least one side of the membrane. A method for forming a pellicle for use in a lithographic apparatus, the method including: providing a membrane having a first portion and a second portion, and providing a protective portion at the second portion on at least one side of the membrane.

Classes IPC  ?

  • G03F 1/62 - Pellicules, p.ex. assemblage de pellicules ayant une membrane sur un cadre de support; Leur préparation
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

66.

A PATTERNING DEVICE VOLTAGE BIASING SYSTEM FOR USE IN EUV LITHOGRAPHY

      
Numéro d'application EP2023074779
Numéro de publication 2024/056552
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Brouns, Derk, Servatius, Gertruda
  • Nikipelov, Andrey
  • Cats, Selwyn, Yannick, Frithjof
  • Yaghoobi, Parham
  • Cloin, Christian, Gerardus, Norbertus, Hendricus, Marie
  • Yakunin, Andrei, Mikhailovich
  • Mylapravan Gangadharan, Hariprasad

Abrégé

A patterning device voltage biasing system for use in a lithographic apparatus, the patterning device voltage biasing system comprising: a patterning device configured to impart a pattern to a beam of radiation, the patterning device comprising a patterning surface with a pattern thereon; and a voltage source, wherein the patterning device voltage biasing system is configured such that a voltage can be applied to the patterning surface of the patterning device by the voltage source.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

67.

INTENSITY ORDER DIFFERENCE BASED METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHODS THEREOF

      
Numéro d'application 18255543
Statut En instance
Date de dépôt 2021-12-02
Date de la première publication 2024-03-21
Propriétaire
  • ASML Holding N.V. (Pays‑Bas)
  • ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Kreuzer, Justin Lloyd
  • Huisman, Simon Reinald
  • Goorden, Sebastianus Adrianus
  • Alpeggiani, Filippo

Abrégé

The system includes a radiation source, a diffractive element, an optical system, a detector, and a processor. The radiation source generates radiation. The diffractive element diffracts the radiation to generate a first beam and a second beam. The first beam includes a first non-zero diffraction order and the second beam includes a second non-zero diffraction order that is different from the first non-zero diffraction order. The optical system receives a first scattered beam and a second scattered radiation beam from a target structure and directs the first scattered beam and the second scattered beam towards a detector. The detector generates a detection signal. The processor analyzes the detection signal to determine a target structure property based on at least the detection signal. The first beam is attenuated with respect to the second beam or the first scattered beam is purposely attenuated with respect to the second scattered beam.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

68.

SEMICONDUCTOR CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Numéro d'application 18038206
Statut En instance
Date de dépôt 2021-10-26
Date de la première publication 2024-03-21
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Nihtianov, Stoyan
  • Kanai, Kenichi
  • Ramachandra Rao, Padmakumar

Abrégé

A detector may be provided for a charged particle apparatus comprising: a sensing element including a diode; and a circuit configured to detect an electron event caused by an electron impacting the sensing element, wherein the circuit comprises a voltage monitoring device and a reset device, wherein the reset device is configured to regularly reset the diode by setting a voltage across the diode to a predetermined value, and wherein the voltage monitoring device is connected to the diode to monitor a voltage across the diode in between resets.

Classes IPC  ?

  • H01J 37/244 - Détecteurs; Composants ou circuits associés

69.

METHOD TO PREDICT METROLOGY OFFSET OF A SEMICONDUCTOR MANUFACTURING PROCESS

      
Numéro d'application 18276014
Statut En instance
Date de dépôt 2022-01-21
Date de la première publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Dos Santos Guzella, Thiago
  • Ishibashi, Masashi
  • Sanno, Noriaki
  • Bastani, Vahid
  • Sahraeian, Reza
  • Saputra, Putra

Abrégé

A method for determining a spatially varying process offset for a lithographic process, the spatially varying process offset (MTD) varying over a substrate subject to the lithographic process to form one or more structures thereon. The method includes obtaining a trained model (MOD), having been trained to predict first metrology data based on second metrology data, wherein the first metrology data (OV) is spatially varying metrology data which relates to a first type of measurement of the one or more structures being a measure of yield and the second metrology data (PB) is spatially varying metrology data which relates to a second type of measurement of the one or more structures and correlates with the first metrology data; and using the model to obtain the spatially varying process offset (MTD).

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

70.

PATTERNING DEVICE CONDITIONING SYSTEM AND METHOD

      
Numéro d'application 17768881
Statut En instance
Date de dépôt 2020-09-14
Date de la première publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Van De Kerkhof, Marcus Adrianus
  • Van De Wetering, Ferdinandus Martinus Jozef Henricus
  • Yakunin, Andrei Mikhailovich

Abrégé

A reticle conditioning system includes: a support structure to support a reticle; a gas supply module to provide a flow of gas adjacent to the reticle; and a biasing module to control an electrical potential of the reticle. The biasing module includes a first electrode, a second electrode and a voltage supply. The first and second electrodes are each spaced apart from and facing the reticle, when the reticle is supported by the support structure, so as to at least partially overlap with the reticle. The voltage supply is arranged to maintain the first electrode at a positive voltage, and the second electrode at a negative voltage, these voltages being such that the voltage of the reticle is negative. The second electrode is disposed such that, when the reticle is supported by the support structure, it does not overlap an image forming portion of the reticle.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

71.

A MULTI-PASS RADIATION DEVICE

      
Numéro d'application EP2023071964
Numéro de publication 2024/056284
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Edward, Stephen
  • Abdolvand, Amir

Abrégé

A radiation device for generating broadband output radiation upon receiving pulsed input radiation, the radiation device comprising: an oscillating cavity comprising a first reflecting surface and a second reflecting surface; and a nonlinear medium located between the first reflecting surface and the second reflecting surface; wherein the oscillating cavity is configured to receive and to oscillate therewithin said pulsed input radiation such that the pulsed input radiation undergoes a filamentation process resulting in formation of one or more filaments in the nonlinear medium and such that the pulsed input radiation undergoes a plurality of passes through the nonlinear medium such that it is spectrally broadened by the nonlinear medium to form the broadband output radiation.

Classes IPC  ?

72.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Numéro d'application EP2023072458
Numéro de publication 2024/056296
Statut Délivré - en vigueur
Date de dépôt 2023-08-15
Date de publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Tinnemans, Patricius, Aloysius Jacobus
  • Van Putten, Eibert, Gerjan

Abrégé

Disclosed is a dark-field metrology method. A first partial electric field is determined from a measurement parameter of first diffracted radiation data relating to a first portion of a diffraction order and a second partial electric field is determined from a measurement parameter of second diffracted radiation data relating to a second portion of the diffraction order, The first portion of the diffraction order and second portion of the diffraction order relate to respective portions of a detection pupil plane or conjugate thereof. An electric field of said diffraction order is determined from said first partial electric field and said second partial electric field.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

73.

ILLUMINATION ADJUSTMENT APPARATUSES AND LITHOGRAPHIC APPARATUSES

      
Numéro d'application EP2023072765
Numéro de publication 2024/056318
Statut Délivré - en vigueur
Date de dépôt 2023-08-18
Date de publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Ungureanu, Nicolae, Marian
  • Melfi, Jr., James
  • Chester, James, F.
  • Kaminer, Yuval
  • Apone, Nicholas, Stephen

Abrégé

An illumination adjustment apparatus includes a plate, actuators, and finger structures. The actuators include coils disposed on the plate. The finger structures include beryllium alloy material. Ones of the finger structures are coupled to corresponding ones of the actuators via magnets. The finger structures are moved independently using the actuators, are disposed at least partially in a path of a beam of radiation to intercept at least a portion of the beam, and adjust an intensity cross-section of the beam based on the moving and the intercepting.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

74.

MIXED ENERGY CONTROL IN AN EUV LITHOGRAPHY SYSTEM

      
Numéro d'application EP2023073112
Numéro de publication 2024/056330
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-03-21
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Wang, Yan
  • Masic, Milenko
  • Jacques, Robert N.
  • Park, Jisang

Abrégé

A system for and method of controlling extreme ultraviolet (EUV) output power in an EUV radiation source in which one control loop controls at least one system variable impacting the power of a drive laser while the drive laser generates laser pulses converting a portion of target material in an irradiation region and another control loop controls a hit/miss firing pattern which determines which laser pulses will strike a portion of target material in the irradiation region and which will not.

Classes IPC  ?

  • H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma

75.

METHODS AND APPARATUS FOR ACOUSTIC METROLOGY

      
Numéro d'application 18268467
Statut En instance
Date de dépôt 2021-12-15
Date de la première publication 2024-03-14
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Arabul, Mustafa Ümit
  • Zhou, Zili
  • Coene, Willem Marie,julia,marcel
  • Verschuren, Coen Adrianus
  • Van Neer, Paul, Louis,maria Joseph
  • Piras, Daniele
  • Blaak, Sandra
  • Koek, Wouter Dick
  • Willekers, Robert Wilhelm

Abrégé

A metrology apparatus for determining one or more parameters of a structure fabricated in or on a semiconductor substrate. The apparatus comprises a transducer array comprising a plurality of transducers positioned in a plane. The plurality of transducers comprises at least one transmitter transducer for emitting acoustic radiation in a frequency range from 1 GHz to 100 GHz towards the structure, and at least one receiver transducer for receiving acoustic radiation reflected and/or diffracted from the structure.

Classes IPC  ?

  • G01N 29/04 - Analyse de solides
  • G01N 29/26 - Dispositions pour l'orientation ou le balayage
  • G01N 29/28 - Recherche ou analyse des matériaux par l'emploi d'ondes ultrasonores, sonores ou infrasonores; Visualisation de l'intérieur d'objets par transmission d'ondes ultrasonores ou sonores à travers l'objet - Détails pour établir le couplage acoustique

76.

GUIDING DEVICE AND ASSOCIATED SYSTEM

      
Numéro d'application 18380439
Statut En instance
Date de dépôt 2023-10-16
Date de la première publication 2024-03-14
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Labetski, Dzmitry
  • Berendsen, Christianus Wilhelmus Johannes
  • Duarte Rodrigues Nunes, Rui Miguel
  • Ershov, Alexander Igorevich
  • Feenstra, Kornelis Frits
  • Fomenkov, Igor Vladimirovich
  • Hummler, Klaus Martin
  • Johnkadaksham, Arun
  • Kraushaar, Matthias
  • Laforge, Andrew David
  • Langlois, Marc Guy
  • Loginov, Maksim
  • Ma, Yue
  • Mojab, Seyedmohammad
  • Nadir, Kerim
  • Shatalov, Alexander
  • Stewart, John Tom
  • Tegenbosch, Henricus Gerardus
  • Xia, Chunguang

Abrégé

An extreme ultraviolet radiation (EUV) source, including: a vessel having an inner vessel wall and an intermediate focus (IF) region; an EUV collector disposed inside the vessel, the EUV collector including a reflective surface configured to reflect EUV radiation toward the intermediate focus region, the reflective surface configured to directionally face the IF region of the vessel; a showerhead disposed along at least a portion of the inner vessel wall, the showerhead including a plurality of nozzles configured to introduce gas into the vessel; and one or more exhausts configured to remove gas introduced into the vessel, the one or more exhausts being oriented along at least a portion of the inner vessel wall so that the gas is caused to flow away from the EUV collector.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma

77.

CHARGED PARTICLE OPTICAL DEVICE, OBJECTIVE LENS ASSEMBLY, DETECTOR, DETECTOR ARRAY, AND METHODS

      
Numéro d'application 18513481
Statut En instance
Date de dépôt 2023-11-17
Date de la première publication 2024-03-14
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Mangnus, Albertus Victor Gerardus

Abrégé

The disclosed embodiments provide a various techniques for detecting secondary charged particles and backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, using mirror detector arrays, using multiple detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.

Classes IPC  ?

  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée

78.

CHARGED PARTICLE DEVICE AND METHOD

      
Numéro d'application 18517642
Statut En instance
Date de dépôt 2023-11-22
Date de la première publication 2024-03-14
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Mangnus, Albertus Victor Gerardus

Abrégé

The present disclosure provides a charged particle optical device for a charged particle system. The device projects an array of charged particle beams towards a sample. The device comprises a control lens array to control a parameter of the array of beams; and an objective lens array to project the array of beams onto the sample, the objective lens array being down beam of the control lens. The objective lens array comprises: an upper electrode; and a lower electrode arrangement that comprises an up-beam electrode and a down-beam electrode. The device is configured to apply an upper potential to the upper electrode, an up-beam potential to the up-beam electrode and a down-beam potential to the down-beam electrode. The potentials are controlled to control the landing energy of the beams on the sample and. to maintain focus of the beams on the sample at the landing energies.

Classes IPC  ?

  • H01J 37/05 - Dispositifs électronoptiques ou ionoptiques pour la séparation des électrons ou des ions en fonction de leur énergie
  • H01J 37/12 - Lentilles électrostatiques
  • H01J 37/21 - Moyens pour la mise au point

79.

HOLOGRAPHIC METROLOGY APPARATUS AND METHOD

      
Numéro d'application EP2023071962
Numéro de publication 2024/052031
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-03-14
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Buijs, Robin, Daniel
  • Van Schaijk, Theodorus, Thomas, Marinus
  • Den Boef, Arie, Jeffrey

Abrégé

A method of determining a parameter of interest of a structure comprising at least one first feature oriented along a first axis of a structure coordinate system and at least one second feature oriented along a second axis of the structure coordinate system. The method comprising: illuminating the first feature and the second feature with first illumination from a first direction oblique to said first axis and second axis, so as to generate first scattered radiation from the first feature and second scattered radiation from the second feature, detecting a first interference pattern formed by interference between a portion of the first scattered radiation and first reference illumination; detecting a second interference pattern formed by interference between a portion of the second scattered radiation and the first reference illumination; and determining the parameter of interest of the structure using the first interference pattern and the second interference pattern.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03H 1/04 - Procédés ou appareils pour produire des hologrammes
  • G03H 1/08 - Procédés ou appareils pour produire des hologrammes pour faire des hologrammes synthétiques

80.

EUV RADIATION BEAM POWER REDUCTION

      
Numéro d'application EP2023072122
Numéro de publication 2024/052041
Statut Délivré - en vigueur
Date de dépôt 2023-08-10
Date de publication 2024-03-14
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Szwedowicz, Konrad
  • Der Kinderen, Ronny

Abrégé

A method of providing an additional EUV radiation exposure of part of a die on a substrate at a level of EUV radiation power which compensates for a previous low exposure, the method using EUV radiation power incident upon a patterning device of a lithographic apparatus, the lithographic apparatus comprising a first array of mirrors and a second array of mirrors, the first array of mirrors being configured to receive EUV radiation and to reflect the EUV radiation as sub-beams of radiation towards the second array of mirrors, wherein the method comprises rotating mirrors of the first array such that at least some of the sub-beams of radiation are incident on mirrors of the second array at positions which provide reduced transmission of the sub-beams of radiation to the patterning device.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

81.

MEASURING CONTRAST AND CRITICAL DIMENSION USING AN ALIGNMENT SENSOR

      
Numéro d'application EP2023072449
Numéro de publication 2024/052061
Statut Délivré - en vigueur
Date de dépôt 2023-08-15
Date de publication 2024-03-14
Propriétaire
  • ASML NETHERLANDS B.V. (Pays‑Bas)
  • IMEC V.Z.W. (Belgique)
Inventeur(s)
  • Duriau, Edouard, André, Marie, Louis
  • Tabery, Cyrus, Emil
  • Brunner, Timothy, Allan
  • Ausschnitt, Christopher, P.
  • Truffert, Vincent, Patrick, Thomas

Abrégé

A method can include directing radiation toward at least two targets using an optical scanning system so as to generate first and second portions of scattered radiation. A first target can include a plurality of first grating line structures including features having a first bias value. A second target can include a plurality of second grating line structures including features having a second bias value. The method can include detecting the first and second portions of scattered radiation, generating a first measurement signal indicative of a first target position based on the first bias features, and generating a second measurement signal indicative of a second target position based on the second bias features. The method can include analyzing an effect of the first and second bias values on the first and second positions to determine at least one property of the set of targets.

Classes IPC  ?

  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G03F 7/20 - Exposition; Appareillages à cet effet

82.

TARGET DELIVERY SYSTEM

      
Numéro d'application 18512264
Statut En instance
Date de dépôt 2023-11-17
Date de la première publication 2024-03-14
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Vaschenko, Georgiy Olegovich
  • Rollinger, Bob

Abrégé

A target delivery system for an extreme ultraviolet (EUV) light source is disclosed. The system includes: a conduit including an orifice configured to fluidly couple to a reservoir; an actuator configured to mechanically couple to the conduit such that motion of the actuator is transferred to the conduit; and a control system coupled to the actuator, the control system being configured to: determine an indication of pressure applied to target material in the reservoir, and control the motion of the actuator based on the determined indication of applied pressure. Moreover, techniques for operating a supply system are disclosed. For example, one or more characteristics of the supply system are determined, and an actuator that is mechanically coupled to the supply system is controlled based on the one or more determined characteristics such that an orifice of the supply system remains substantially free of material damage during operational use.

Classes IPC  ?

  • H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

83.

Transport System Having a Magnetically Levitated Transportation Stage

      
Numéro d'application 18515952
Statut En instance
Date de dépôt 2023-11-21
Date de la première publication 2024-03-14
Propriétaire
  • Massachusetts Institute of Technology (USA)
  • ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Zhou, Lei
  • Trumper, David L.
  • Gunawardana, Ruvinda

Abrégé

A reticle transport system having a magnetically levitated transportation stage is disclosed. Such a system may be suitable for use in vacuum environments, for example, ultra-clean vacuum environments. A magnetic levitated linear motor functions to propel the transportation stage in a linear direction along a defined axis of travel and to magnetically levitate the transportation stage

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H02K 41/03 - Moteurs synchrones; Moteurs pas à pas; Moteurs à réluctance

84.

DATA PROCESSING DEVICE AND METHOD, CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Numéro d'application 18516020
Statut En instance
Date de dépôt 2023-11-21
Date de la première publication 2024-03-14
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Wieland, Marco Jan-Jaco
  • Kuiper, Vincent Sylvester

Abrégé

A data processing device for detecting defects in sample images generated by a charged particle assessment system, the device comprising: an input module, a filter module, a reference image module and a comparator. The input module is configured to receive a sample image from the charged particle assessment system. The filter module is configured to apply a filter to the sample image to generate a filtered sample image. The reference image module is configured to provide a reference image based on one or more source images. The comparator is configured to compare the filtered sample image to the reference image so as to detect defects in the sample image.

Classes IPC  ?

  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

85.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Numéro d'application EP2023071435
Numéro de publication 2024/052012
Statut Délivré - en vigueur
Date de dépôt 2023-08-02
Date de publication 2024-03-14
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • El Gawhary, Omar
  • Helfenstein, Patrick, Philipp
  • Van Rijswijk, Loes, Frederique
  • Geypen, Niels
  • Jonquiere, Hugo, Laurent
  • Invernizzi, Andrea
  • Cramer, Hugo, Augustinus, Joseph

Abrégé

Disclosed is a method of determining at least one parameter of interest relating to a structure formed in at least one respective layer on a substrate, the method comprising: obtaining a measured metrology data relating to a measurement of the structure; obtaining a model, the model describing the structure in terms of a plurality of model parameters, the model parameters comprising estimated values; and performing in sequence a plurality of optimization steps, based on the measured metrology data, to determine the plurality of model parameters, each of the plurality of optimization steps determining a subset of the plurality of model parameters.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

86.

METHOD FOR MONITORING PROPER FUNCTIONING OF ONE OR MORE COMPONENTS OF A LITHOGRAPHY SYSTEM

      
Numéro d'application EP2023072412
Numéro de publication 2024/052057
Statut Délivré - en vigueur
Date de dépôt 2023-08-14
Date de publication 2024-03-14
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Van Goch, Bram, Paul, Theodoor
  • Van Der Maas, Richard, Jacobus, Rudolf

Abrégé

Disclosed is a method for monitoring proper functioning of one or more components of a lithography system. The method comprises determining a frequency response function for each of said one or more components during production activity using the lithography system, at a time during said production activity when control requirements are relatively less stringent; evaluating each of said frequency response functions with respect to control data indicative of nominal lithographic system behavior; and predicting whether to perform a maintenance action on the lithography system based on said evaluating step.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

87.

A METHOD AND SYSTEM FOR PREDICTING ABERRATIONS IN A PROJECTION SYSTEM

      
Numéro d'application 18262511
Statut En instance
Date de dépôt 2022-01-05
Date de la première publication 2024-03-07
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Van De Wal, Marinus Maria Johannes
  • Van Berkel, Koos
  • Dolk, Victor Sebastiaan
  • Thissen, Stijn Clyde Natalia
  • Schneiders, Mauritius Gerardus Elisabeth
  • Koevoets, Adrianus Hendrik

Abrégé

A method of predicting thermally induced aberrations of a projection system for projecting a radiation beam, the method comprising: calculating an irradiance profile for at least one optical element of the projection system from a power and illumination source pupil of the radiation beam, estimating a temperature distribution as a function of time in the at least one optical element of the projection system using the calculated irradiance profile for the at least one optical element of the projection system; calculating the thermally induced aberrations of the projection system based on the estimated temperature distribution and a thermal expansion parameter map associated with the at least one optical element of the projection system, wherein the thermal expansion parameter map is a spatial map indicating spatial variations of thermal expansion parameters in the at least one optical element of the projection system or a uniform map.

Classes IPC  ?

  • G01M 11/02 - Test des propriétés optiques
  • G01K 1/02 - Moyens d’indication ou d’enregistrement spécialement adaptés aux thermomètres
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

88.

OPERATION METHODS OF 2D PIXELATED DETECTOR FOR AN APPARATUS WITH PLURAL CHARGED-PARTICLE BEAMS AND MAPPING SURFACE POTENTIALS

      
Numéro d'application 18269532
Statut En instance
Date de dépôt 2021-12-08
Date de la première publication 2024-03-07
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Wang, Yongxin
  • Krupin, Oleg
  • Ren, Weiming
  • Hu, Xuerang
  • Liu, Xuedong

Abrégé

A method of detecting charged particles may include detecting beam intensity as a primary charged particle beam moves along a first direction; acquiring a secondary beam spot projection pattern as the primary charged particle beam moves along a second direction; and determining a parameter of a secondary beam spot based on the acquired secondary beam spot projection pattern. A method of compensating for beam spot changes on a detector may include acquiring a beam spot projection pattern on the detector, determining a change of the beam spot projection pattern, and adjusting a parameter of a detector cell of the detector based on the change. Another method may be provided for forming virtual apertures with respect to detector cells of a detector.

Classes IPC  ?

  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

89.

SYSTEM AND METHOD FOR DETECTING PARTICLES WITH A DETECTOR DURING INSPECTION

      
Numéro d'application EP2023071272
Numéro de publication 2024/046685
Statut Délivré - en vigueur
Date de dépôt 2023-08-01
Date de publication 2024-03-07
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Moon, Eunseong
  • Wang, Yongxin
  • Lai, Rui-Ling
  • Vessal, Farhang
  • Leng, Chongyang

Abrégé

Systems, apparatuses, and methods include a detector including a detection element (400) including a portion of a silicon substrate (402) comprising: a front side (410) of the portion of the silicon substrate including a PIN diode that comprises a p-type region (404a) and an n-type region (403a); a back side (420) of the portion of the silicon substrate, opposite of the front side, comprising a substantially uniform surface; and a layer (421) on the back side of the portion of the silicon substrate; wherein: a region between the p-type region and the n-type region is configured to form a depletion region (407) when a reverse bias is applied between the p-type region (404a) and the n-type region (403a), and the PIN diode is configured to detect an electron that enters the back side of the portion of the silicon substrate and passes through the portion of the silicon substrate to the depletion region.

Classes IPC  ?

  • H01L 31/115 - Dispositifs sensibles au rayonnement d'ondes très courtes, p.ex. rayons X, rayons gamma ou rayonnement corpusculaire
  • H01J 37/244 - Détecteurs; Composants ou circuits associés

90.

METHOD FOR CONFIGURING A FIELD OF VIEW OF AN INSPECTION APPARATUS

      
Numéro d'application EP2023071406
Numéro de publication 2024/046691
Statut Délivré - en vigueur
Date de dépôt 2023-08-02
Date de publication 2024-03-07
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Bastani, Vahid
  • Nechaev, Konstantin, Sergeevich
  • Anunciado, Roy
  • Van Der Sanden, Stefan, Cornelis, Theodorus

Abrégé

Disclosed is method for configuring a field of view configuration of an inspection apparatus with respect to a pattern on a patterned substrate to be measured. The method comprises: obtaining metrology data comprising at least one parameter distribution across at least a portion of the pattern, the at least one parameter distribution relating to a respective one or more parameters of interest and/or respective one 5 or more proxy parameters for a parameter of interest; determining variation metric data quantifying an amount of variation captured within the parameter distribution when limited to the field of view for varied field of view configurations; and configuring the field of view configuration with respect to the pattern based on said variation metric data.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

91.

MULTI-CHANNEL LIGHT SOURCE FOR PROJECTION OPTICS HEATING

      
Numéro d'application 18273618
Statut En instance
Date de dépôt 2021-12-30
Date de la première publication 2024-03-07
Propriétaire
  • ASML NETHERLANDS B.V. (Pays‑Bas)
  • ASML Holding N.V. (Pays‑Bas)
Inventeur(s)
  • Van Bokhoven, Laurentius Johannes Adrianus
  • Ajgaonkar, Mahesh Upendra

Abrégé

Systems, apparatuses, and methods are provided for heating a plurality of optical components. An example method can include receiving an input radiation beam from a radiation source. The example method can further include generating a plurality of output radiation beams based on the input radiation beam. The example method can further include transmitting the plurality of output radiation beams towards a plurality of heater head optics configured to heat the plurality of optical components. Optionally, the example method can further include controlling a respective power value, and realizing a flat-top far-field profile, of each of the plurality of output radiation beams.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

92.

SYSTEMS AND METHODS FOR THERMALLY CONDITIONING A WAFER IN A CHARGED PARTICLE BEAM APPARATUS

      
Numéro d'application 18467642
Statut En instance
Date de dépôt 2023-09-14
Date de la première publication 2024-03-07
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Van Heumen, Martijn Petrus Christianus
  • Gosen, Jeroen Gerard

Abrégé

An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including a thermal conditioning station for preconditioning a temperature of a wafer is disclosed. The charged particle beam apparatus may scan the wafer to measure one or more characteristics of the structures on the wafer and analyze the one or more characteristics. The charged particle beam apparatus may further determine a temperature characteristic of the wafer based on the analysis of the one or more characteristics of the structure and adjust the thermal conditioning station based on the temperature characteristic.

Classes IPC  ?

  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

93.

ASSESSMENT SYSTEM, METHOD OF ASSESSING

      
Numéro d'application 18506923
Statut En instance
Date de dépôt 2023-11-10
Date de la première publication 2024-03-07
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Wieland, Marco Jan-Jaco

Abrégé

Assessment systems and methods are disclosed. In one arrangement, charged particles are directed in sub-beams arranged in a multi-beam towards a sample. A plurality of control electrodes define a control lens array. Each control lens in the control lens array is aligned with a sub-beam path of a respective sub-beam of the multi-beam and configured to operate on the respective sub-beam. A plurality of objective electrodes define an objective lens array that directs the sub-beams onto a sample. Objective lenses are aligned with a sub-beam path aligned with a respective control lens. Selectable landing energies are implemented for a sub-beam of the multi-beam by applying corresponding potentials to the control electrodes and the objective electrodes. A controller is configured to select corresponding potentials so a spatial relationship between an image plane of the system and all control electrodes and objective electrodes is the same for each selectable landing energy.

Classes IPC  ?

  • H01J 37/26 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions
  • H01J 37/12 - Lentilles électrostatiques
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

94.

DOSE CONTROL IN AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Numéro d'application EP2023073115
Numéro de publication 2024/046835
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-03-07
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s) Huang, Merlin

Abrégé

A dose controller is configured to: receive a measurement of an extreme ultraviolet (EUV) pulse energy created from an EUV interaction, the EUV interaction occurring between a target light pulse produced by a target light source and a target in an interaction region; receive an EUV pulse energy set-point associated with a desired EUV pulse energy for exposing a substrate; determine an interaction ratio based on the measured EUV pulse energy and the EUV pulse energy set-point, the interaction ratio defining a percentage of the average number of EUV interactions over a period of time; determine energy in one or more target light pulses supplied to the interaction region, the determined energy being based on the value of the interaction ratio and the measured EUV pulse energy; and provide the determined interaction ratio and the determined energy to the target light source.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma

95.

METROLOGY SYSTEM AND METHOD

      
Numéro d'application EP2023070488
Numéro de publication 2024/041827
Statut Délivré - en vigueur
Date de dépôt 2023-07-25
Date de publication 2024-02-29
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Yoon, Changsik
  • Koolen, Armand, Eugene, Albert
  • Hoogveld, Jasper, Niko, Maria
  • Joobeur, Adel
  • Zimmerman, Richard, Carl
  • Raub, Alexander, Kenneth
  • Jin, Yuwei
  • Cheng, Su-Ting
  • Tenner, Vasco, Tomas
  • Wei, Xukang
  • Gouteux, Louise, Karina, Laurie

Abrégé

A system includes an illumination system, a scanning system, an optical system, a detector system, and a processor. The illumination system directs an optical beam to illuminate a target structure. The scanning system scans the optical beam and controls a size of a focal spot of the optical beam onto the target structure. The optical system maintains an alignment with an optical axis of the system during scanning of the optical beam. The detector system detects a signal beam generated from the target structure during scanning of the optical beam. The signal beam comprises at least a scattered beam generated from the target structure. The processor analyzes the detected signal beam to determine an overlay characteristic of the target structure.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

96.

MODELLING OF MULTI-LEVEL ETCH PROCESSES

      
Numéro d'application EP2023070661
Numéro de publication 2024/041831
Statut Délivré - en vigueur
Date de dépôt 2023-07-26
Date de publication 2024-02-29
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Fan, Yongfa
  • Feng, Mu

Abrégé

Disclosed are methods, systems, and computer software for predicting after-etch profiles of features at varying depths. A method can include accessing after-development resist profiles of features. The method can also include applying an etch bias model on the after-development resist profiles to obtain the after-etch profiles, where the etch bias model correlates an etch bias with an etch depth.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

97.

TRAINING MACHINE LEARNING MODELS BASED ON PARTIAL DATASETS FOR DEFECT LOCATION IDENTIFICATION

      
Numéro d'application 18267734
Statut En instance
Date de dépôt 2021-12-08
Date de la première publication 2024-02-29
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Moin, Nabeel Noor
  • Lin, Chenxi
  • Zou, Yi

Abrégé

A method and apparatus for training a defect location prediction model to predict a defect for a substrate location is disclosed. A number of datasets having data regarding process-related parameters for each location on a set of substrates is received. Some of the locations have partial datasets in which data regarding one or more process-related parameters is absent. The datasets are processed to generate multiple parameter groups having data for different sets of process-related parameters. For each parameter group, a sub-model of the defect location prediction model is created based on the corresponding set of process-related parameters and trained using data from the parameter group. A trained sub-model(s) may be selected based on process-related parameters available in a candidate dataset and a defect prediction may be generated for a location associated with the candidate dataset using the selected sub-model.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G06N 20/20 - Techniques d’ensemble en apprentissage automatique

98.

DUAL FOCUS SOLUTON FOR SEM METROLOGY TOOLS

      
Numéro d'application 18270707
Statut En instance
Date de dépôt 2021-12-09
Date de la première publication 2024-02-29
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s)
  • Bosch, Niels Johannes Maria
  • Wang, Xu
  • Hempenius, Peter Paul
  • Wang, Yongqiang
  • Butler, Hans
  • Wang, Youjin
  • Grasman, Jasper Hendrik
  • Sui, Jianzi
  • Chen, Tianming
  • Wu, Aimin

Abrégé

There is provided a charged particle apparatus comprising: a particle beam generator, optics, a first and a second positioning device, both configured for positioning the substrate relative to the particle beam generator along its optical axis, and a controller configured for switching between a first operational mode and a second operational mode. The apparatus is configured, when operating in the first operational mode, for irradiating the substrate by the particle beam at a first landing energy of the particle beam and, when operating in the second operational mode, for irradiating the substrate at a second, different landing energy. When operating in the first operational mode, the second positioning device is configured to position the substrate relative to the particle beam generator at a first focus position of the particle beam and in the second operational mode, to position the substrate at a second, different focus position.

Classes IPC  ?

  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support

99.

DATA FILTER FOR SCANNING METROLOGY

      
Numéro d'application 18280266
Statut En instance
Date de dépôt 2022-02-21
Date de la première publication 2024-02-29
Propriétaire ASML NETHERLANDS B.V. (Pays‑Bas)
Inventeur(s)
  • Caresio, Cristina
  • Kinyanjui, Tabitha Wangari
  • Rogachevskiy, Andrey Valerievich
  • Knarren, Bastiaan Andreas Wilhelmus Hubertus
  • Centeno, Raymund
  • Den Boer, Jan Arie
  • Trogrlic, Viktor

Abrégé

A method of processing a data set including equispaced and/or non-equispaced data samples is disclosed. The method includes filtering of the data, wherein a kernel defined by a probability density function is convoluted over samples in the data set to perform a weighted average of the samples at a plurality of positions across the data set, and wherein a first order regression is applied to the filtered data to provide a processed data output.

Classes IPC  ?

  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

100.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Numéro d'application 18384791
Statut En instance
Date de dépôt 2023-10-27
Date de la première publication 2024-02-29
Propriétaire ASML Netherlands B.V. (Pays‑Bas)
Inventeur(s) Wieland, Marco Jan-Jaco

Abrégé

The embodiments of the present disclosure provide a charged particle assessment system comprising: a sample holder configured to hold a sample having a surface; a charged particle-optical device configured to project a charged particle beam towards the sample, the charged particle beam having a field of view corresponding to a portion of the surface of the sample, the charged particle-optical device having a facing surface facing the sample holder; and a projection assembly arranged to direct a light beam along a light path such that the light beam reflects off the facing surface up-beam, with respect to the light path, of being incident on the portion of the surface of the sample.

Classes IPC  ?

  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube
  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  1     2     3     ...     69        Prochaine page