KLA-Tencor Technologies Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-75 de 75 pour KLA-Tencor Technologies Corporation Trier par
Recheche Texte
Brevet
International - WIPO
Affiner par Reset Report
Classe IPC
G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette 14
G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales 10
G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures 8
G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation 6
G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques 4
Voir plus
Résultats pour  brevets

1.

DYNAMIC PATTERN GENERATOR WITH CUP-SHAPED STRUCTURE

      
Numéro d'application US2008079332
Numéro de publication 2009/061579
Statut Délivré - en vigueur
Date de dépôt 2008-10-09
Date de publication 2009-05-14
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Grella, Luca
  • Baranov, Leonid
  • Gotkis, Yehiel

Abrégé

One embodiment relates to a dynamic pattern generator (112) for reflection electron beam lithography which includes conductive pixel pads (902), an insulative border (906) surrounding each conductive pixel pad so as to electrically isolate the conductive pixel pads from each other, and conductive elements (908) coupled to the conductive pixel pads for controllably applying voltages to the conductive pixel pads. The conductive pixel pads are advantageously cup shaped with a bottom portion, a sidewall portion, and an open cavity (904). Another embodiment relates to a pattern generating apparatus which includes a well structure with sidewalls and a cavity configured above each conductive pixel pad (1210). The sidewalls may include alternating layers of conductive (1212, 1214, 1216) and insulative (1202, 1204, 1206) materials. Other embodiments, aspects and feature are also disclosed.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

2.

EXTERNAL BEAM DELIVERY SYSTEM FOR LASER DARK-FIELD ILLUMINATION IN A CATADIOPTRIC OPTICAL SYSTEM

      
Numéro d'application US2008007652
Numéro de publication 2008/156812
Statut Délivré - en vigueur
Date de dépôt 2008-06-18
Date de publication 2008-12-24
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Armstrong, J., Joseph

Abrégé

A catadioptric objective configured to inspect a specimen is provided. The catadioptric objective includes a Mangin element having one surface at a first axial location and an extension element positioned together with the Mangin element. The extension element provides a second surface at a second axial location. Certain light energy reflected from the specimen passes to the second surface of the extension element, the Mangin element, and through a plurality of lenses. An aspheric surface may be provided, and light energy may be provided to the specimen using diverting elements such as prisms or reflective surfaces.

Classes IPC  ?

  • G02B 17/08 - Systèmes catadioptriques
  • G02B 13/18 - Objectifs optiques spécialement conçus pour les emplois spécifiés ci-dessous avec des lentilles ayant une ou plusieurs surfaces non sphériques, p.ex. pour réduire l'aberration géométrique

3.

PHOTOMASK INSPECTION AND VERIFICATION BY LITHOGRAPHY IMAGE RECONSTRUCTION USING IMAGING PUPIL FILTERS

      
Numéro d'application US2008050798
Numéro de publication 2008/086494
Statut Délivré - en vigueur
Date de dépôt 2008-01-10
Date de publication 2008-07-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Xiong, Yalin
  • Shi, Rui-Fang

Abrégé

A method and tool for generating reconstructed images that model the high NA effects of a lithography tool used to image patterns produced by a mask. Comparison of the reconstructed images with reference images characterize the mask. The method involves providing a mask reticle for inspection. Generating matrix values associated with a high NA corrective filter matrix that characterizes a high NA lithography system used to print from the mask. Illuminating the mask to produce a patterned illumination beam that is filtered with filters associated with the high NA corrective filter matrix elements to obtain a plurality of filtered beams that include raw image data that is processed to obtain a reconstructed image that is further processed and compared with reference images to obtain mask characterization information.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

4.

ELECTRON BEAM LITHOGRAPHY METHOD AND APPARATUS USING A DYNAMICALLY CONTROLLED PHOTOCATHODE

      
Numéro d'application US2008050913
Numéro de publication 2008/086527
Statut Délivré - en vigueur
Date de dépôt 2008-01-11
Date de publication 2008-07-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Carroll, Allen M.

Abrégé

Embodiments of the invention include an electron beam lithography device (200) using a dynamically controllable photocathode capable of producing a patterned electron beam (208). One such implementation includes a dynamic pattern generator ( 202) configurable to produce an electron beam (208) having a desired image pattern impressed thereon. Such an electron beam pattern being enabled by selectively activating programmable photoemissive elements of the pattern generator. The apparatus further including an illumination source. (201) arranged to direct a light beam onto the dynamic pattern generator (202) to produce the electron beam having the desired pattern. The electron beam being directed through associated electron optics (215) configured to receive the electron beam from the dynamic pattern generator and direct the electron beam onto a target substrate (205) mounted on a stage (206).

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • H01J 37/073 - Canons à électrons utilisant des sources d'électrons à émission par effet de champ, à photo-émission ou à émission secondaire
  • H01J 1/34 - Cathodes photo-émissives

5.

METHOD FOR DETECTING LITHOGRAPHICALLY SIGNIFICANT DEFECTS ON RETICLES

      
Numéro d'application US2008050914
Numéro de publication 2008/086528
Statut Délivré - en vigueur
Date de dépôt 2008-01-11
Date de publication 2008-07-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Wihl, Mark J.
  • Xiong, Yalin
  • Yiin, Lih-Huah

Abrégé

A method for identifying lithographically significant defects. A photomask is illuminated to produce images that experience different parameters of the reticle as imaged by an inspection tool. Example parameters include a transmission intensity image and a reflection intensity image. The images are processed together to recover a band limited mask pattern associated with the photomask. A model of an exposure lithography system for chip fabrication is adapted to accommodate the band limited mask pattern as an input which is input into the model to obtain an aerial image of the mask pattern that is processed with a photoresist model yielding a resist-modeled image. The resist-modeled image is used to determine if the photomask has lithographically significant defects.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation

6.

METHOD OF DATA ENCODING, COMPRESSION, AND TRANSMISSION ENABLING MASKLESS LITHOGRAPHY

      
Numéro d'application US2007082433
Numéro de publication 2008/052080
Statut Délivré - en vigueur
Date de dépôt 2007-10-24
Date de publication 2008-05-02
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Lordi, Vincenzo
  • Levi, Shem-Tov
  • Hess, Harald

Abrégé

A method and tool for conducting charged-particle beam direct write lithography is disclosed. A disclosed method involves condensing an initial design file down to a set of profiles and a pattern of relative locations to form a formatted pattern file. The formatted pattern file is adjusted to accommodate desired pattern corrections. Portions of the formatted pattern records are extracted to form data strips that have a plurality of channels with a pattern of profiles and spatial indicators. Data strips are sequentially read to construct a printable pattern of profiles and spatial indicators that specify the locations of the profiles. Additionally, the pattern of profiles are sequentially printed from each data strip onto a substrate to form the desired pattern on the substrate.

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • G03F 7/20 - Exposition; Appareillages à cet effet

7.

SYSTEMS FOR SENSING PRESSURE/SHEAR FORCE

      
Numéro d'application US2007080197
Numéro de publication 2008/042903
Statut Délivré - en vigueur
Date de dépôt 2007-10-02
Date de publication 2008-04-10
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Renken, Wayne, G.
  • Sun, Mei, H.
  • Mason, Aron, Abramowksi
  • Wiese, Lynn, Karl

Abrégé

At least one pair of capacitively coupled electrodes contained in a structure is used to sense the deflection of a diaphragm in a pressure or force sensor for measuring the pressure or force exerted on the diaphragm. Preferably the structure has properties (such as one or more of the following: dimensions, hardness, area and flexibility) that are substantially the same as those of a real substrate, such as a semiconductor wafer or flat panel display panel. For measuring shear force, at least one shear force sensor is used to measure the shear force on a member, when the member is in contact with and pressed against a polishing or planarization surface and a lateral force is applied between the two surfaces. Preferably the structure and the surface of the structure have properties (such as one or more of the following: dimensions and coefficient of friction) that are substantially the same as those of a real substrate, such as a semiconductor wafer or flat panel display panel.

Classes IPC  ?

  • G01L 5/00 - Appareils ou procédés pour la mesure des forces, du travail, de la puissance mécanique ou du couple, spécialement adaptés à des fins spécifiques
  • G01L 1/14 - Mesure des forces ou des contraintes, en général en mesurant les variations de la capacité ou de l'inductance des éléments électriques, p.ex. en mesurant les variations de fréquence des oscillateurs électriques
  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

8.

METHOD FOR GENERATING A DESIGN RULE MAP HAVING SPATIALLY VARYING OVERLAY BUDGET

      
Numéro d'application US2007079053
Numéro de publication 2008/036827
Statut Délivré - en vigueur
Date de dépôt 2007-09-20
Date de publication 2008-03-27
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Adel, Michael
  • Chang, Ellis

Abrégé

The invention is a method for generating a design rule map having a spatially varying overlay error budget. Additionally, the spatially varying overlay error budget can be employed to determine if wafers are fabricated in compliance with specifications. In one approach a design data file that contains fabrication process information and reticle information is processed using design rules to obtain a design map with a spatially varying overlay error budget that defines a localized tolerance to overlay errors for different spatial locations on the design map. This spatially varying overlay error budget can be used to disposition wafers. For example, overlay information obtained from measured metrology targets on a fabricated wafer are compared with the spatially varying overlay error budget to determine if the wafer overlay satisfies the required specification.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

9.

ATOMIC FORCE MICROSCOPE

      
Numéro d'application US2007077943
Numéro de publication 2008/031076
Statut Délivré - en vigueur
Date de dépôt 2007-09-07
Date de publication 2008-03-13
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Tortonese, Marco
  • Bevis, Christoper, F.

Abrégé

In one embodiment, an atomic force microscope comprises a frame, a beam coupled to the frame at a first end and a second end, a probe mounted to the beam, means for inducing relative motion between the beam and an underlying surface, and means for detecting a characteristic of the beam.

Classes IPC  ?

  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G01B 11/04 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur spécialement adaptés pour mesurer la longueur ou la largeur d'objets en mouvement
  • H01J 37/252 - Tubes analyseurs à spot par faisceaux électroniques ou ioniques; Micro-analyseurs

10.

CONFOCAL SECONDARY ELECTRON IMAGING

      
Numéro d'application US2007019188
Numéro de publication 2008/027543
Statut Délivré - en vigueur
Date de dépôt 2007-08-29
Date de publication 2008-03-06
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Adler, David L

Abrégé

One embodiment relates to an apparatus using electrons for inspection or metrology of a semiconductor substrate. The apparatus includes an electron source (301), electron lenses (302), scan deflectors (304), an objective electron lens (305), a collection electron lens (310), a pin-hole filter (312), de-scan deflectors (311), and a detector (313). The collection electron lens (310) is configured to focus the secondary electrons so as to form a secondary electron beam (309) which is focused at a conjugate focal plane, and the pin-hole filter (312) is positioned at the conjugate focal plane. The de-scan deflectors (311) are configured to controllably deflect the secondary electrons so as to counteract an influence of the scan deflectors (304) such that a center portion of the secondary electron beam passes through the filter and a remainder portion of the secondary electron beam is filtered out by the filter. Other embodiments and features are also disclosed.

Classes IPC  ?

  • A61N 5/00 - Thérapie par radiations
  • G21G 5/00 - Conversion supposée des éléments chimiques par réaction chimique

11.

COMPUTER-IMPLEMENTED METHODS AND SYSTEMS FOR DETERMINING DIFFERENT PROCESS WINDOWS FOR A WAFER PRINTING PROCESS FOR DIFFERENT RETICLE DESIGNS

      
Numéro d'application US2007072515
Numéro de publication 2008/003084
Statut Délivré - en vigueur
Date de dépôt 2007-06-29
Date de publication 2008-01-03
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Verma, Gaurav
  • Su, Bo
  • Volk, William
  • Lehon, Harold
  • Hess, Carl

Abrégé

Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs are provided. One method includes generating simulated images illustrating how each of the different reticle designs will be printed on a wafer at different values of one or more parameters of the wafer printing process. The method also includes detecting defects in each of the different reticle designs using the simulated images. In addition, the method includes determining a process window for the wafer printing process for each of the different reticle designs based on results of the detecting step.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

12.

DETECTING DEFECTS ON SPECIMEN USING COMBINATION OF B꧀GHT AND DARK FIELD CHANNEL DATA

      
Numéro d'application US2007070749
Numéro de publication 2007/146798
Statut Délivré - en vigueur
Date de dépôt 2007-06-08
Date de publication 2007-12-21
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Leslie, Brian
  • Kulkarni, Ashok

Abrégé

Various methods, carrier media, and systems for detecting defects on a specimen using a combination of bright field channel data and dark field channel data are provided. One computer-implemented method includes combining pixel-level data acquired for the specimen by a bright field channel and a dark field channel of an inspection system. The method also includes detecting defects on the specimen by applying a two-dimensional threshold to the combined data. The two-dimensional threshold is defined as a function of a threshold for the data acquired by the bright field channel and a threshold for the data acquired by the dark field channel.

Classes IPC  ?

  • G06F 15/00 - TRAITEMENT ÉLECTRIQUE DE DONNÉES NUMÉRIQUES Équipement de traitement de données en général

13.

ORDER SELECTED OVERLAY METROLOGY

      
Numéro d'application US2007012875
Numéro de publication 2007/143056
Statut Délivré - en vigueur
Date de dépôt 2007-05-31
Date de publication 2007-12-13
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Kandel, Daniel
  • Levinski, Vladimir
  • Adel, Michael, E.
  • Seligson, Joel, L.

Abrégé

Disclosed are apparatus and methods for measuring a characteristic, such as overlay, of a semiconductor target. In general, order-selected imaging and/or illumination is performed while collecting an image from a target using a metrology system. In one implementation, tunable spatial modulation is provided only in the imaging path of the system. In other implementations, tunable spatial modulation is provided in both the illumination and imaging paths of the system. In a specific implementation, tunable spatial modulation is used to image side-by-side gratings with diffraction orders ±n. The side-by-side gratings may be in different layers or the same layer of a semiconductor wafer. The overlay between the structures is typically found by measuring the distance between centers symmetry of the gratings. In this embodiment, only orders ±n for a given choice of n (where n is an integer and not equal to zero) are selected, and the gratings are only imaged with these diffraction orders.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01B 11/30 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la rugosité ou l'irrégularité des surfaces

14.

DETERMINING INFORMATION ABOUT DEFECTS OR BINNING DEFECTS DETECTED ON A WAFER AFTER AN IMMERSION LITHOGRAPHY PROCESS IS PERFORMED ON THE WAFER

      
Numéro d'application US2007069867
Numéro de publication 2007/143449
Statut Délivré - en vigueur
Date de dépôt 2007-05-29
Date de publication 2007-12-13
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Malik, Irfan
  • Nag, Somnath

Abrégé

Various computer-implemented methods are provided. One computer-implemented method for determining information about a defect detected on a wafer after an immersion lithography (IL) process is performed on the wafer includes comparing inspection results for the defect to data in a defect library for different types of IL defects and determining the information about the defect based on results of the comparison. One computer-implemented method (figure 4) for binning defects detected on a wafer after an IL process is performed on the wafer includes comparing one or more characteristics of the defects (48) to one or more characteristics of IL defects (50) and one or more characteristics of non-IL defects (52). The method also includes binning the defects having one or more characteristics that substantially match the one or more characteristics of the IL defects and the non-IL defects in different groups.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

15.

METHODS AND SYSTEMS FOR DETECTING PINHOLES IN A FILM FORMED ON A WAFER OR FOR MONITORING A THERMAL PROCESS TOOL

      
Numéro d'application US2007069465
Numéro de publication 2007/137261
Statut Délivré - en vigueur
Date de dépôt 2007-05-22
Date de publication 2007-11-29
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chen, David K.
  • Steinbach, Andrew
  • Kavaldjiev, Daniel
  • Belyaev, Alexander
  • Reich, Juergen

Abrégé

Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool are provided. One method for detecting pinholes in a film formed on a wafer includes generating output responsive to light from the wafer using an inspection system. The output includes first output corresponding to defects on the wafer and second output that does not correspond to the defects. This method also includes detecting the pinholes in the film formed on the wafer using the second output. One method for monitoring a thermal process tool includes generating output responsive to light from a wafer using an inspection system. The output includes the first and second output described above. The wafer was processed by the thermal process tool prior to generating the output. The method also includes monitoring the thermal process tool using the second output.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

16.

APPARATUS AND METHOD FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY

      
Numéro d'application US2007006031
Numéro de publication 2007/126559
Statut Délivré - en vigueur
Date de dépôt 2007-03-08
Date de publication 2007-11-08
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Kandel, Daniel
  • Mieher, Walter, D.
  • Golovanevsky, Boris

Abrégé

Embodiments of the invention include a scatterometry target for use in determining the alignment between substrate layers. A target arrangement is formed on a substrate and comprises a plurality of target cells. Each cell has two layers of periodic features constructed such that an upper layer is arranged above a lower layer and configured so that the periodic features of the upper layer have an offset and/or different pitch than periodic features of the lower layer. The pitches are arranged to generate a periodic signal when the target is exposed to an illumination source. The target also includes disambiguation features arranged between the cells and configured to resolve ambiguities caused by the periodic signals generated by the cells when exposed to the illumination source.

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

17.

METHODS AND SYSTEMS FOR UTILIZING DESIGN DATA IN COMBINATION WITH INSPECTION DATA

      
Numéro d'application US2006061112
Numéro de publication 2007/120279
Statut Délivré - en vigueur
Date de dépôt 2006-11-20
Date de publication 2007-10-25
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Zafar, Khurram
  • Kekare, Sagar
  • Chang, Ellis
  • Park, Allen
  • Rose, Peter

Abrégé

Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G06K 9/62 - Méthodes ou dispositions pour la reconnaissance utilisant des moyens électroniques

18.

METHODS AND SYSTEMS FOR UTILIZING DESIGN DATA IN COMBINATION WITH INSPECTION DATA

      
Numéro d'application US2006061113
Numéro de publication 2007/120280
Statut Délivré - en vigueur
Date de dépôt 2006-11-20
Date de publication 2007-10-25
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Kulkarni, Ashok
  • Duffy, Brian
  • Maayah, Kais
  • Rouse, Gordon

Abrégé

Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for determining a position of inspection data in design data space includes aligning data acquired by an inspection system for alignment sites on a wafer with data for predetermined alignment sites. The method also includes determining positions of the alignment sites on the wafer in design data space based on positions of the predetermined alignment sites in the design data space. In addition, the method includes determining a position of inspection data acquired for the wafer by the inspection system in the design data space based on the positions of the alignment sites on the wafer in the design data space. In one embodiment, the position of the inspection data is determined with sub-pixel accuracy.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

19.

METHODS, DEFECT REVIEW TOOLS, AND SYSTEMS FOR LOCATING A DEFECT IN A DEFECT REVIEW PROCESS

      
Numéro d'application US2006060701
Numéro de publication 2007/111696
Statut Délivré - en vigueur
Date de dépôt 2006-11-09
Date de publication 2007-10-04
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chen, Da
  • Fouquet, Christophe
  • Banerjee, Saibal
  • Bhattacharyya, Santosh
  • Wang, Joseph
  • Yao, Lian
  • Van Riet, Mike
  • Germanenko, Igor

Abrégé

Methods, defect review tools, and systems for locating a defect in a defect review process are provided. One method includes acquiring one or more images and data from an inspection tool. The one or more images illustrate an area on a specimen in which a defect to be reviewed is located. The data indicates a position and features of the defect within the area. The method also includes acquiring one or more additional images of the specimen proximate the position of the defect indicated in the data using an imaging subsystem of a defect review tool. In addition, the method includes identifying a portion of the one or more additional images that corresponds to the one or more images. The method further includes determining a position of the defect within the portion of the one or more additional images using the data.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

20.

SYSTEMS AND METHODS FOR MEASURING ONE OR MORE CHARACTERISTICS OF PATTERNED FEATURES ON A SPECIMEN

      
Numéro d'application US2007064769
Numéro de publication 2007/112300
Statut Délivré - en vigueur
Date de dépôt 2007-03-23
Date de publication 2007-10-04
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Wack, Dan
  • Wang, Haiming
  • Gross, Kenneth P.

Abrégé

Systems and methods for measuring one or more characteristics of patterned features on a specimen are provided. One system includes an optical subsystem configured to acquire measurements of light scattered from the patterned features on the specimen at multiple angles of incidence, multiple azimuthal angles, and multiple wavelengths simultaneously. The system also includes a processor configured to determine the one or more characteristics of the patterned features from the measurements. One method includes acquiring measurements of light scattered from the patterned features on the specimen at multiple angles of incidence, multiple azimuthal angles, and multiple wavelengths simultaneously. The method also includes determining the one or more characteristics of the patterned features from the measurements.

Classes IPC  ?

  • G01B 11/14 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la distance ou la marge entre des objets ou des ouvertures espacés
  • G01N 21/55 - Réflexion spéculaire

21.

METHOD AND SYSTEM FOR OPTIMIZING ALIGNMENT PERFORMANCE IN A FLEET OF EXPOSURE TOOLS

      
Numéro d'application US2007006569
Numéro de publication 2007/109103
Statut Délivré - en vigueur
Date de dépôt 2007-03-15
Date de publication 2007-09-27
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Adel, Michael, E.
  • Robinson, John
  • Izikson, Pavel
  • Eichelberger, Brad
  • Widmann, Amir
  • Kato, Atsuhiko

Abrégé

A method for optimizing alignment performance in a fleet of exposure systems involves characterizing each exposure system in a fle of exposure systems to generate a set of distinctive distortion profiles (301) associated with each exposure system The set of distinct distortion profiles are stored in a database (303) A wafer having reference pattern formed thereon is provided for further pattern fab꧀cation (305) and an exposure system is selected from the fleet to fabricate a next layer on the wafer (307) Linear and higher ord parameters of the selected exposure system are adjusted using the distinctive distortion profiles to model the distortion of the referen pattern (309) Once the exposure system is adjusted, it is used to form a lithographic pattern on the wafer (311).

Classes IPC  ?

  • H01J 37/153 - Dispositions électronoptiques ou ionoptiques pour la correction de défauts d'images, p.ex. stigmateurs

22.

COMPUTER-IMPLEMENTED METHODS, CARRIER MEDIA, AND SYSTEMS FOR CREATING A METROLOGY TARGET STRUCTURE DESIGN FOR A RETICLE LAYOUT

      
Numéro d'application US2007063995
Numéro de publication 2007/106864
Statut Délivré - en vigueur
Date de dépôt 2007-03-14
Date de publication 2007-09-20
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Smith, Mark
  • Hardister, Robert
  • Pochkowski, Mike
  • Widmann, Amir
  • Kassel, Elyakim
  • Adel, Mike

Abrégé

Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout are provided. One computer-implemented method for creating a metrology target structure design for a reticle layout includes simulating how one or more initial metrology target structures will be formed on a wafer based on one or more fabrication processes that will be used to form a metrology target structure on the wafer and one or more initial metrology target structure designs. The method also includes creating the metrology target structure design based on results of the simulating step.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

23.

ETCH SELECTIVITY ENHANCEMENT, DEPOSITION QUALITY EVALUATION, STRUCTURAL MODIFICATION AND THREE-DIMENSIONAL IMAGING USING ELECTRON BEAM ACTIVATED CHEMICAL ETCH

      
Numéro d'application US2007060503
Numéro de publication 2007/100933
Statut Délivré - en vigueur
Date de dépôt 2007-01-12
Date de publication 2007-09-07
Propriétaire KLA TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Nasser-Ghodsi, Mehran
  • Gotkis, Yehiel
  • Lopatin, Sergey
  • Pickard, Garrett
  • Garcia, Rudy F.
  • Yu, Ming Lun
  • Krzeczowski, Kenneth
  • Lent, Matthew
  • Huang, Chris
  • Macdonald, Niles K.
  • Borowicz, Stanislaw Marek
  • Chuang, Tzu-Chin

Abrégé

Etch selectivity enhancement during electron beam activated chemical etch (EBACE), methods and apparatus for evaluating the quality of structures on an integrated circuit wafer using EBACE, a method for modifying a surface of a substrate (or a portion there of), methods and apparatus for imaging a structure and an associated processor-readable medium are disclosed. A target or portion thereof may be exposed to a gas composition of a type that etches the target when the gas composition and/or target are exposed to an electron beam. By directing an electron beam toward the target in the vicinity of the gas composition, an interaction between the electron beam and the gas composition etches a portion of the target exposed to both the gas composition and the electron beam.

Classes IPC  ?

  • C23F 1/00 - Décapage de matériaux métalliques par des moyens chimiques
  • A61N 5/00 - Thérapie par radiations
  • B44C 1/22 - Enlèvement superficiel de matière, p.ex. par gravure, par eaux fortes
  • G21K 7/00 - Microscopes à rayons gamma ou à rayons X

24.

METHODS AND SYSTEMS FOR DETERMINING A CHARACTERISTIC OF A WAFER

      
Numéro d'application US2007061912
Numéro de publication 2007/092950
Statut Délivré - en vigueur
Date de dépôt 2007-02-09
Date de publication 2007-08-16
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Kirk, Michael D.
  • Bevis, Christopher F.
  • Adler, David
  • Bhaskar, Kris

Abrégé

Methods and systems for determining a characteristic of a wafer are provided. One method includes generating output responsive to light from the wafer using an inspection system. The output includes first output corresponding to defects on the wafer and second output that does not correspond to the defects. The method also includes determining the characteristic of the wafer using the second output. One system includes an inspection subsystem configured to illuminate the wafer and to generate output responsive to light from the wafer. The output includes first output corresponding to defects on the wafer and second output that does not correspond to the defects. The system also includes a processor configured to determine the characteristic of the wafer using the second output.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

25.

SYSTEMS AND METHODS FOR INSPECTING A WAFER WITH INCREASED SENSITIVITY

      
Numéro d'application US2006062097
Numéro de publication 2007/087101
Statut Délivré - en vigueur
Date de dépôt 2006-12-14
Date de publication 2007-08-02
Propriétaire
  • KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
  • SHORTT, David (USA)
Inventeur(s)
  • Haller, Kurt L.
  • Wolters, Christian

Abrégé

Systems and methods for inspecting a wafer with increased sensitivity are provided. One system includes an inspection subsystem configured to direct light to a spot on the wafer and to generate output signals responsive to light scattered from the spot on the wafer. The system also includes a gas flow subsystem configured to replace a gas located proximate to the spot on the wafer with a medium that scatters less of the light than the gas thereby increasing the sensitivity of the system. In addition, the system includes a processor configured to detect defects on the wafer using the output signals.

Classes IPC  ?

  • B08B 3/12 - Nettoyage impliquant le contact avec un liquide avec traitement supplémentaire du liquide ou de l'objet en cours de nettoyage, p.ex. par la chaleur, par l'électricité ou par des vibrations par des vibrations soniques ou ultrasoniques

26.

METHODS AND SYSTEMS FOR BINNING DEFECTS DETECTED ON A SPECIMEN

      
Numéro d'application US2006062100
Numéro de publication 2007/079344
Statut Délivré - en vigueur
Date de dépôt 2006-12-14
Date de publication 2007-07-12
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Lin, Jason Z.
  • Chu, Xing
  • Wu, Kenong
  • Mccauley, Sharon

Abrégé

Methods and systems for binning defects detected on a specimen are provided. One method includes comparing a test image to reference images. The test image includes an image of one or more patterned features formed on the specimen proximate to a defect detected on the specimen. The reference images include images of one or more patterned features associated with different regions of interest within a device being formed on the specimen. If the one or more patterned features of the test image match the one or more patterned features of one of the reference images, the method includes assigning the defect to a bin corresponding to the region of interest associated with the reference image.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

27.

SYSTEM AND METHOD FOR CONDUCTING ADAPTIVE FOURIER FILTERING

      
Numéro d'application US2006048089
Numéro de publication 2007/075496
Statut Délivré - en vigueur
Date de dépôt 2006-12-14
Date de publication 2007-07-05
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Mapoles, Evan R.
  • Chen, Grace H.
  • Bevis, Christopher F.
  • Shortt, David W.

Abrégé

A dark field surface inspection tool and system are disclosed herein. The tool includes an illumination source (303) capable of scanning light (I) onto an inspection surface (301). Light scattered (S) by each inspection point is captured as image data by a photo detector array (305) arranged at a fourier plane. The images captured are adaptively filtered to remove a portion of the bright pixels from the images to generate filtered images. The filtered images are then analyzed to detect defects in the inspection surface. Methods of the invention include using die-to-die comparison to identify bright portions of scattering patterns and generate unique image filters associated with those patterns. The associated images are then filtered to generate filtered images which are then used to detect defects Also, data models of light scattering behavior can be used to generate filters.

Classes IPC  ?

  • G01B 9/02 - Interféromètres
  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes
  • G01B 11/30 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la rugosité ou l'irrégularité des surfaces
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01N 21/86 - Analyse de feuilles mobiles
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01V 8/00 - Prospection ou détection par des moyens optiques
  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • H04N 7/18 - Systèmes de télévision en circuit fermé [CCTV], c. à d. systèmes dans lesquels le signal vidéo n'est pas diffusé
  • H04N 9/47 - Synchronisation de couleurs pour des signaux séquentiels

28.

METHOD AND APPARATUS FOR SIMULTANEOUS HIGH-SPEED ACQUISITION OF MULTIPLE IMAGES

      
Numéro d'application US2006049318
Numéro de publication 2007/076138
Statut Délivré - en vigueur
Date de dépôt 2006-12-26
Date de publication 2007-07-05
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Brown, David, Lee

Abrégé

A method and apparatus for simultaneous high-speed inspection and acquisition of multiple data channels is provided. The method and apparatus enables inspecting semiconductor wafers and reticles and comprises converting a single image region into two image sections, reorienting one image into a transposed configuration enabling simultaneous scanning of two inspected object locations with a single sensor, and controlling acquisition parameters for a second image by using information collected from a first image in a feedback arrangement. The design provides a dual-linear or time-delay-integration sensor operating in a split readout configuration mode to simultaneously provide data from two regions of the sensor using two sets of readout circuitry.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

29.

SCANNING ELECTRON MICROSCOPE WITH CRT-TYPE ELECTRON OPTICS

      
Numéro d'application US2006047227
Numéro de publication 2007/070475
Statut Délivré - en vigueur
Date de dépôt 2006-12-11
Date de publication 2007-06-21
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Adler, David L
  • Levy, Ady
  • Richardson, Neil
  • Mccord, Mark A

Abrégé

One embodiment relates to an apparatus, including a CRT-type gun (for example, 102, or 302, or 510, or 1002, or 1113) and deflectors to generate and scan the electron beam, which utilizes the electron beam for inspection or metrology of a substrate. The apparatus may comprise a portable scanning electron microscope. Another embodiment relates to a method of inspecting a substrate or measuring an aspect of the substrate, where an electron beam is focused using electrostatic lenses formed by metal plates (704) supported by and separated by fused glass beads (706) or other insulating material. Another embodiment relates to a method of obtaining an electron beam image of a surface of a bulk specimen where a portable SEM device is moved to the bulk specimen. Other embodiments and features are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou
  • G21K 7/00 - Microscopes à rayons gamma ou à rayons X

30.

APPARATUS FOR CONTINUOUS CLOCKING OF TDI SENSORS

      
Numéro d'application US2006046031
Numéro de publication 2007/064910
Statut Délivré - en vigueur
Date de dépôt 2006-11-30
Date de publication 2007-06-07
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Brown, David, Lee
  • Cao, Kai
  • Chuang, Yung-Ho

Abrégé

A method and apparatus for propagating charge through a sensor and implementation thereof is provided. The method and apparatus may be used to inspect specimens, the sensor operating to advance an accumulated charge between gates of the TDI sensor. The design implementation provides a set of values representing a plurality of out of phase signals, such as sinusoidal or trapezoidal signals. These out of phase signals are converted and transmitted to the sensor. The converted signals cause the sensor to transfer charges in the sensor toward an end of the sensor. Aspects such as feed through correction and correction of nonlinearities are addressed.

Classes IPC  ?

  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • H04N 5/335 - Transformation d'informations lumineuses ou analogues en informations électriques utilisant des capteurs d'images à l'état solide [capteurs SSIS] 

31.

OVERLAY METROLOGY USING THE NEAR INFRA-RED SPECTRAL RANGE

      
Numéro d'application US2006044259
Numéro de publication 2007/061704
Statut Délivré - en vigueur
Date de dépôt 2006-11-13
Date de publication 2007-05-31
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Adel, Michael
  • Frommer, Aviv

Abrégé

A method and tool for conducting NIR overlay metrology is disclosed. Such methods involve generating a filtered illumination beam including NIR radiation and directing that illumination beam onto an overlay target to produce an optical signal that is detected and used to generate overlay metrology measurements. The method is particularly suited to substrate applications having layers of opaque material that are transmissive in the NIR range (e.g., amorphous carbon) and where NTR imaging is used to obtain overlay measurements. A tool implementation includes a means for generating a filtered illumination beam extending into the NIR range and a detector for receiving NIR signal from an NIR illuminated target and a computer for processing the signal data to obtain overlay metrology measurements.

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

32.

METHODS AND APPARATUS FOR DESIGNING AND USING MICRO-TARGETS IN OVERLAY METROLOGY

      
Numéro d'application US2006041514
Numéro de publication 2007/053376
Statut Délivré - en vigueur
Date de dépôt 2006-10-23
Date de publication 2007-05-10
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Levinski, Vladimir
  • Adel, Michael, E.
  • Frommer, Aviv
  • Kandel, Daniel

Abrégé

Methods and apparatus for fabricating a semiconductor die including several target structures. A first layer is formed that includes one or more line or trench structures that extend in a first direction (310). A second layer is formed that includes one or more line or trench structures that extend in a second direction that is perpendicular to the first structure, such that a projection of the target structure along the first direction is independent of the second direction and a projection of the target structure along the second direction is independent of the first direction (320). A target structure and a method for generating a calibration curve are also described.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

33.

METHODS AND SYSTEMS FOR INSPECTION OF A WAFER

      
Numéro d'application US2006038568
Numéro de publication 2007/044320
Statut Délivré - en vigueur
Date de dépôt 2006-09-29
Date de publication 2007-04-19
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Shortt, David
  • Biellak, Stephen
  • Belyaev, Alexander

Abrégé

Methods and systems for inspection of a wafer are provided. One method includes illuminating the wafer with light at a first wavelength that penetrates into the wafer and light at a second wafer that does not substantially penetrate into the wafer. The method also includes generating output signals responsive to light from the wafer resulting from the illuminating step. In addition, the method includes detecting defects on the wafer using the output signals. The method further includes determining if the defects are subsurface defects or surface defects using the output signals.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

34.

METHODS AND SYSTEMS FOR CREATING A RECIPE FOR A DEFECT REVIEW PROCESS

      
Numéro d'application US2006036707
Numéro de publication 2007/035834
Statut Délivré - en vigueur
Date de dépôt 2006-09-20
Date de publication 2007-03-29
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Borowicz, S., Mark
  • Nasser-Ghodsi, Mehran
  • Krzeczowski, Kenneth, J.

Abrégé

Methods and systems for creating a recipe for a defect review process are provided. One method includes determining an identity of a specimen on which the defect review process will be performed. The method also includes identifying inspection results for the specimen based on the identity. In addition, the method includes creating the recipe for the defect review process based on the inspection results. One system includes a sensor configured to generate output responsive to an identity of a specimen on which the defect review process will be performed. The system also includes a processor configured to determine the identity of the specimen using the output, to identify inspection results for the specimen based on the identity, and to create the recipe for the defect review process based on the inspection results.

Classes IPC  ?

  • G01D 1/00 - Dispositions pour la mesure donnant des résultats autres que la valeur instantanée d'une variable, d'application générale

35.

SYSTEMS AND METHODS FOR PROVIDING ILLUMINATION OF A SPECIMEN FOR INSPECTION

      
Numéro d'application US2006033905
Numéro de publication 2007/027803
Statut Délivré - en vigueur
Date de dépôt 2006-08-30
Date de publication 2007-03-08
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Hill, Andrew, V.

Abrégé

Systems and methods for providing illumination of a specimen for inspection are provided. One system includes one or more first optical elements configured to illuminate a diffuser with a predetermined pattern of coherent light. The system also includes one or more second optical elements configured to image light exiting the diffuser onto an illumination pupil of the system such that the predetermined pattern is reproduced in the illumination pupil. In addition, the system includes an objective lens configured to focus light from the predetermined pattern in the illumination pupil onto a specimen plane. In one embodiment, the light focused onto the specimen plane is not substantially coherent. In another embodiment, the predetermined pattern is selected based on an illumination mode selected for the inspection of the specimen.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

36.

TEST PADS FOR MEASURING PROPERTIES OF A WAFER

      
Numéro d'application US2006032822
Numéro de publication 2007/022538
Statut Délivré - en vigueur
Date de dépôt 2006-08-21
Date de publication 2007-02-22
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Shi, Jianou
  • Zhang, Xiafang
  • Pei, Shiyou
  • Huang, Shu, Chun
  • Yeh, Dennis
  • Rzepiela, Jeffrey, A.
  • Feng, Yiping
  • Khan, Ahmad
  • Kagan, Alexander
  • Edelstein, Sergio

Abrégé

Test pads, methods, and systems for measuring properties of a wafer are provided. One test pad formed on a wafer includes a test structure configured such that one or more electrical properties of the test structure can be measured. The test pad also includes a conductive layer formed between the test structure and the wafer. The conductive layer prevents structures located under the test structure between the conductive layer and the wafer from affecting the one or more electrical properties of the test structure during measurement. One method for assessing plasma damage of a wafer includes measuring one or more electrical properties of a test structure formed on the wafer and determining an index characterizing the plasma damage of the test structure using the one or more electrical properties. In addition, systems and methods for controlling deposition of a charge on a wafer for measurement of one or more electrical properties of the wafer are provided. One system includes a corona source configured to deposit the charge on the wafer and a sensor configured to measure one or more conditions within the corona source. This system also includes a control subsystem configured to alter one or more parameters of the corona source based on the one or more conditions. Another system includes a corona source configured to deposit the charge on the wafer and a mixture of gases disposed within a discharge chamber of the corona source during the deposition of the charge. The mixture of gases alters one or more parameters of the charge deposited on the wafer.

Classes IPC  ?

  • G01R 31/02 - Essai des appareils, des lignes ou des composants électriques pour y déceler la présence de courts-circuits, de discontinuités, de fuites ou de connexions incorrectes de lignes

37.

SYSTEMS, CONTROL SUBSYSTEMS, AND METHODS FOR PROJECTING AN ELECTRON BEAM ONTO A SPECIMEN

      
Numéro d'application US2006029807
Numéro de publication 2007/019118
Statut Délivré - en vigueur
Date de dépôt 2006-08-01
Date de publication 2007-02-15
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Zywno, Marek
  • Mankos, Marian
  • Hess, Harald
  • Levi, Shem-Tov

Abrégé

Systems, control subsystems, and methods for projecting an electron beam onto a specimen are provided. One system includes a stage configured to move the specimen with a non-uniform velocity. The system also includes a projection subsystem configured to project the electron beam onto the specimen while the stage is moving the specimen at the non-uniform velocity. In addition, the system includes a control subsystem configured to alter one or more characteristics of the electron beam while the projection subsystem is projecting the electron beam onto the specimen based on the non-uniform velocity. One method includes moving the specimen with a non-uniform velocity and projecting the electron beam onto the specimen during movement of the specimen. In addition, the method includes altering one or more characteristics of the electron beam during projection of the electron beam onto the specimen based on the non-uniform velocity.

Classes IPC  ?

38.

NON-CRITICAL PHASE MATCHING IN CLBO TO GENERATE SUB-213NM WAVELENGHTS

      
Numéro d'application US2006029135
Numéro de publication 2007/016217
Statut Délivré - en vigueur
Date de dépôt 2006-07-26
Date de publication 2007-02-08
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Armstrong, J., Joseph
  • Chuang, Yung-Ho

Abrégé

A laser illuminator and illumination method for use in an inspection system, such as a semiconductor wafer inspection system or photomask inspection system is provided. The design comprises generating fundamental frequency laser energy at different fundamental wavelengths, such as 998nm, converting a portion of the fundamental frequency laser energy to 2nd harmonic frequency laser energy, further converting the 2' harmonic frequency laser energy to 4th harmonic frequency laser energy, and mixing the 4th harmonic frequency laser energy with a portion of the fundamental frequency laser energy to produce laser energy at a sum frequency. Mixing is accomplished by non-critical phase matching in a crystal of Cesium Lithium Borate (CLBO). Alternately, the design may employ shifting a portion of the fundamental frequency laser energy to laser energy at a Raman line and/or mixing the 2nd harmonic frequency laser energy with a portion of the fundamental frequency laser energy to produce 3rd harmonic frequency laser energy.

Classes IPC  ?

  • H01S 3/10 - Commande de l'intensité, de la fréquence, de la phase, de la polarisation ou de la direction du rayonnement, p.ex. commutation, ouverture de porte, modulation ou démodulation

39.

SYSTEMS CONFIGURED TO GENERATE OUTPUT CORRESPONDING TO DEFECTS ON A SPECIMEN

      
Numéro d'application US2006030296
Numéro de publication 2007/016682
Statut Délivré - en vigueur
Date de dépôt 2006-08-02
Date de publication 2007-02-08
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Hwang, Shiow-Hwei
  • Fu, Tao-Yi
  • Liu, Xiumei

Abrégé

Systems configured to generate output corresponding to defects on a specimen and systems configured to generate phase information about defects on a specimen are provided. One system includes an optical subsystem that is configured to create interference between a test beam and a reference beam. The test beam and the reference beam are reflected from the specimen. The system also includes a detector that is configured to generate output representative of the interference between the test and reference beams. The interference increases contrast between the output corresponding to the defects and output corresponding to non-defective portions of the specimen.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

40.

SYSTEMS, CIRCUITS AND METHODS FOR REDUCING THERMAL DAMAGE AND EXTENDING THE DETECTION RANGE OF AN INSPECTION SYSTEM BY AVOIDING DETECTOR AND CIRCUIT SATURATION

      
Numéro d'application US2006027129
Numéro de publication 2007/011630
Statut Délivré - en vigueur
Date de dépôt 2006-07-12
Date de publication 2007-01-25
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Wolters, Christian, H.
  • Romanovsky, Anatoly
  • Slobodov, Alexander

Abrégé

Inspection systems, circuits and methods are provided to enhance defect detection by addressing anode saturation as a limiting factor of the measurement detection range of a photomultiplier tube (PMT) detector. Inspection systems, circuits and methods are also provided to enhance defect detection by addressing saturation levels of the amplifier and analog-digital circuitry as a limiting factor of the measurement detection range of an inspection system. In addition, inspection systems, circuits, and methods are provided to enhance defect detection by reducing thermal damage to large particles by dynamically altering the incident laser beam power level supplied to the specimen during a surface inspection scan.

Classes IPC  ?

  • H01J 40/14 - Circuits non adaptés à une application particulière ou tube et non prévus ailleurs

41.

APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY

      
Numéro d'application US2006025836
Numéro de publication 2007/008473
Statut Délivré - en vigueur
Date de dépôt 2006-06-30
Date de publication 2007-01-18
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Ghinovker, Mark

Abrégé

Disclosed are overlay targets having flexible and symmetric characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets. In one embodiment, the semiconductor target comprises a plurality of first structures having a first center of symmetry or a first line of symmetry being arranged to determine the relative shift in an x direction by analyzing an image of the first structure. The target further comprises a plurality of second structures having a second center of symmetry or a second line of symmetry being arranged to determine the relative shift in an x direction by analyzing an image of the second structures, wherein the first center of symmetry or first line of symmetry has a different location than the second center of symmetry or second line of symmetry.

Classes IPC  ?

  • G06F 17/17 - Opérations mathématiques complexes Évaluation de fonctions par des procédés d'approximation, p.ex. par interpolation ou extrapolation, par lissage ou par le procédé des moindres carrés

42.

BEAM DELIVERY SYSTEM FOR LASER DARK-FIELD ILLUMINATION IN A CATADIOPTRIC OPTICAL SYSTEM

      
Numéro d'application US2006024609
Numéro de publication 2007/005340
Statut Délivré - en vigueur
Date de dépôt 2006-06-22
Date de publication 2007-01-11
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Armstrong, J. Joseph

Abrégé

A method and apparatus for inspecting a specimen are provided. The apparatus comprises a primary illumination source, a catadioptric objective exhibiting central obscuration that directs light energy received from the primary illumination source at a substantially normal angle toward the specimen, and an optical device, such as a prism or reflective surface, positioned within the central obscuration resulting from the catadioptric objective for receiving further illumination from a secondary illumination source and diverting the further illumination to the specimen. The method comprises illuminating a surface of the specimen at a variety of angles using a primary illumination source, illuminating the surface using a secondary illumination source, the illuminating by the secondary illumination source occurring at a substantially normal angle of incidence; and imaging all reflected, scattered, and diffracted lig

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

43.

SYSTEMS CONFIGURED TO PROVIDE ILLUMINATION OF A SPECIMEN DURING INSPECTION

      
Numéro d'application US2006021950
Numéro de publication 2006/133206
Statut Délivré - en vigueur
Date de dépôt 2006-06-06
Date de publication 2006-12-14
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Jeong, Hwan, J.

Abrégé

Systems configured to provide illumination of a specimen during inspection are provided. One system includes catoptric elements configured to direct light from a light source to a line across the specimen at an oblique angle of incidence. The catoptric elements include positive and negative elements configured such that pupil distortions of the positive and negative elements are substantially canceled. Another system includes a dioptric element and a catoptric element. The dioptric element and the catoptric element are configured to direct light from a light source to a line across the specimen at an oblique angle of incidence. The dioptric and catoptric elements are also configured such that pupil distortions of the dioptric and catoptric elements are substantially canceled.

Classes IPC  ?

  • G02B 17/00 - Systèmes avec surfaces réfléchissantes, avec ou sans éléments de réfraction

44.

COMPUTER-IMPLEMENTED METHODS FOR PERFORMING DEFECT-TELATED FUNCTIONS

      
Numéro d'application US2006022005
Numéro de publication 2006/133233
Statut Délivré - en vigueur
Date de dépôt 2006-06-06
Date de publication 2006-12-14
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Dishner, Mark
  • Lee, Christopher, W.
  • Mccauley, Sharon
  • Huet, Patrick
  • Wang, David

Abrégé

To use diversity sampling, the user can select diversity sampling and possibly parameters of diversity sampling to be used in the methods described herein One possible interface that provides this capability is a screenshot illustrating one example of a user interface that can be used to select diversity sampling for use in an embodiment of a computer-implemented method described herein Wafer Post processing user interface (18) illustrates a number of options in list (20) In particular, list (20) includes options for Clustering (22). Sampling (24), Repeaters (26), and Defect Limits (28) The Sampling options include Review options (30). Review options (30) include Diversity Sampling option (32) as well as other sampling options. The user may select one or more of the sampling options by clicking on the box next to the name of the sampling option.

Classes IPC  ?

  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • G01B 5/28 - Dispositions pour la mesure caractérisées par l'utilisation de techniques mécaniques pour mesurer la rugosité ou l'irrégularité des surfaces

45.

SERRATED FOURIER FILTERS AND INSPECTION SYSTEMS

      
Numéro d'application US2006022009
Numéro de publication 2006/133235
Statut Délivré - en vigueur
Date de dépôt 2006-06-06
Date de publication 2006-12-14
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Jeong, Hwan, J.
  • Hill, Andrew, V.
  • Wang, Mark, S.

Abrégé

Serrated Fourier filters and inspection systems are provided. One Fourier filter includes one or more blocking elements configured to block a portion of light from a wafer. The Fourier filter also includes periodic serrations formed on edges of the one or more blocking elements. The periodic serrations define a transition region of the one or more blocking elements. The periodic serrations are configured to vary transmission across the transition region such that variations in the transmission across the transition region are substantially smooth. One inspection system includes a Fourier filter configured as described above and a detector that is configured to detect light transmitted by the Fourier filter. Signals generated by the detector can be used to detect the defects on the wafer.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

46.

MEASURING OVERLAY AND PROFILE ASYMMETRY USING SYMMETRIC AND ANTI-SYMMETRIC SCATTEROMETRY SIGNALS

      
Numéro d'application US2006022059
Numéro de publication 2006/133258
Statut Délivré - en vigueur
Date de dépôt 2006-06-06
Date de publication 2006-12-14
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Kandel, Daniel
  • Gross, Ken
  • Friedmann, Michael
  • Fu, Jiyou
  • Krishnan, Shankar
  • Golovanevsky, Boris

Abrégé

Systems and methods are disclosed for using ellipsometer configurations to measure the partial Mueller matrix and the complete Jones matrix of a system that may be isotropic or anisotropic. In one embodiment two or more signals, which do not necessarily satisfy any symmetry assumptions individually, are combined into a composite signal which satisfies a symmetry assumption. The individual signals are collected at two or more analyzer angles. Symmetry properties of the composite signals allow easy extraction of overlay information for any relative orientation of the incident light beam with respect to a ID grating target, as well as for targets comprising general 2D gratings. Signals of a certain symmetry property also allow measurement of profile asymmetry in a very efficient manner. In another embodiment a measurement methodology is defined to measure only signals which satisfy a symmetry assumption. An optional embodiment comprises a single polarization element serving as polarizer (111) and analyzer (115). Another optional embodiment uses an analyzing prism (144) to simultaneously collect two polarization components of reflected light.

Classes IPC  ?

  • G01J 4/00 - Mesure de la polarisation de la lumière

47.

REDUCING VARIATIONS IN ENERGY REFLECTED FROM A SAMPLE DUE TO THIN FILM INTERFERENCE

      
Numéro d'application US2006020288
Numéro de publication 2006/130432
Statut Délivré - en vigueur
Date de dépôt 2006-05-26
Date de publication 2006-12-07
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Armstrong, J., Joseph

Abrégé

A system and method for inspecting a multi-layer sample, such as a silicon wafer, is disclosed. The design reduces variations in total reflected energy due to thin film interference. The design includes illuminating the sample at two incident angle ranges, where the two incident angle ranges are such that variation in total reflected energy at a first incident angle range may be employed to balance variation in total reflected energy at a second incident angle range. Defects are detected using die-to-die subtraction of the sample illuminated at the two incident angle ranges.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

48.

BROAD BAND OBJECTIVE HAVING IMPROVED LATERAL COLOR PERFORMANCE

      
Numéro d'application US2006019381
Numéro de publication 2006/127434
Statut Délivré - en vigueur
Date de dépôt 2006-05-19
Date de publication 2006-11-30
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Shafer, David
  • Armstrong, J., Joseph

Abrégé

A system and method for inspection is disclosed. The design generally employs as many as four design principles, including employing at least one lens from a relatively low dispersion glass, at least one additional lens from an additional material different from the relatively low dispersion glass, generally matching the relatively low dispersion properties of the relatively low dispersion glass. The design also may include at least one further lens from a further material different from and exhibiting a significantly different dispersion power from the relatively low dispersion glass and the additional material. Finally, the design may include lenses positioned to insert a significant amount of color within the objective, a gap, and additional lenses, the gap and additional lenses serving to cancel the color inserted.

Classes IPC  ?

49.

WAFER EDGE INSPECTION

      
Numéro d'application US2006017385
Numéro de publication 2006/121843
Statut Délivré - en vigueur
Date de dépôt 2006-05-05
Date de publication 2006-11-16
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Velidandla, Vamsi
  • Somanchi, Anoop
  • Soetarman, Ronny
  • Meeks, Steven, W.

Abrégé

In one embodiment, a system (110) to inspect an edge region of a wafer (122), comprises a surface analyzer assembly comprising a radiation targeting assembly that targets a radiation beam onto a surface of the wafer (122); a reflected radiation collection assembly to collect radiation reflected from a surface of the wafer (122); means for rotating the surface analyzer assembly about an edge surface (126) of the wafer (122); and means for detecting one or more defects in the edge region of the wafer.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

50.

SYSTEMS AND METHODS FOR MITIGATING VARIANCES ON A PATTERNED WAFER USING A PREDICTION MODEL

      
Numéro d'application US2006012846
Numéro de publication 2006/113145
Statut Délivré - en vigueur
Date de dépôt 2006-04-07
Date de publication 2006-10-26
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Watson, Sterling, G.
  • Levy, Ady
  • Mack, Chris, A.
  • Stokowski, Stanley, E.
  • Saidin, Zain, K.

Abrégé

Disclosed are systems and methods for mitigating variances (e.g., critical dimension variances) on a patterned wafer are provided. In general, variances of a patterned wafer are predicted using one or more reticle fabrication and/or wafer processing models. The predicted variances are used to modify selected transparent portions of the reticle that is to be used to produce the patterned wafer. In a specific implementation, an optical beam, such as a femto-second laser, is applied to the reticle at a plurality of embedded positions, and the optical beam is configured to form specific volumes of altered optical properties within the transparent material of the reticle at the specified positions. These reticle volumes that are created at specific positions of the reticle result in varying amounts of light transmission or dose through the reticle at such specific positions so as to mitigate the identified variances on a wafer that is patterned using the modified reticle.

Classes IPC  ?

  • G01P 3/36 - Dispositifs caractérisés par l'emploi de moyens optiques, p.ex. en utilisant la lumière infrarouge, visible ou ultraviolette
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G02B 23/10 - Télescopes ou lunettes d'approche, p.ex. jumelles; Périscopes; Instruments pour voir à l'intérieur de corps creux; Viseurs; Pointage optique ou appareils de visée comprenant des prismes ou des miroirs projetant dans le champ de vision des indications supplémentaires, p.ex. données par des collimateurs

51.

METHOD FOR MONITORING A RETICLE

      
Numéro d'application US2006012608
Numéro de publication 2006/113126
Statut Délivré - en vigueur
Date de dépôt 2006-04-03
Date de publication 2006-10-26
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Watson, Sterling, G.
  • Levy, Ady
  • Mack, Chris, A.
  • Stokowski, Stanley, E.
  • Saidin, Zain, K.

Abrégé

Reticles may comprise shading elements (SEs) for locally altering the reticle optical properties. However, such reticles may degrade over time as a result of repeated exposure to radiation in a lithography process, as the radiation may 'heal' the SEs. Disclosed are techniques for monitoring a reticle in order to maintain confidence about the reticle's optical properties and the uniformity of patterns on wafers that are to be printed using the reticle. Reticles undergo periodic inspection comprising reticle transmission measurement and/or aerial imaging of the reticle. When such inspection indicates sufficient reticle degradation, the reticle is tagged for correction prior to its subsequent use in a lithography process.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G01R 31/26 - Test de dispositifs individuels à semi-conducteurs
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/22 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductrices; Redistribution des impuretés, p.ex. sans introduction ou sans élimination de matériau dopant supplémentaire
  • H01L 21/38 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, dans ou hors du corps semi-conducteur, ou entre les régions semi-conductrices

52.

METHOD FOR DETERMINING AND CORRECTING RETICLE VARIATIONS

      
Numéro d'application US2006012741
Numéro de publication 2006/113135
Statut Délivré - en vigueur
Date de dépôt 2006-04-05
Date de publication 2006-10-26
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Watson, Sterling, G.
  • Levy, Ady
  • Mack, Chris, A.
  • Stokowski, Stanley, E.
  • Saidin, Zain, K.

Abrégé

Disclosed are techniques for determining and correcting reticle variations using a reticle global variation map generated by comparing a set of measured reticle parameters to a set of reference reticle parameters. The measured reticle parameters are obtained by reticle inspection, and the variation map identifies reticle regions and associated levels of correction. In one embodiment, the variation data is communicated to a system which modifies the reticle by embedding scattering centers within the reticle at identified reticle regions, thereby improving the variations. In another embodiment the variation data is transferred to a wafer stepper or scanner which in turn modifies the conditions under which the reticle is used to manufacture wafers, thereby compensating for the variations and producing wafers that are according to design.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G03C 5/00 - Procédés photographiques ou agents à cet effet; Régénération de tels agents de traitement
  • G06F 17/50 - Conception assistée par ordinateur

53.

SYSTEMS AND METHODS FOR MODIFYING A RETICLE'S OPTICAL PROPERTIES

      
Numéro d'application US2006012847
Numéro de publication 2006/113146
Statut Délivré - en vigueur
Date de dépôt 2006-04-07
Date de publication 2006-10-26
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Watson, Sterling, G.
  • Levy, Ady
  • Mack, Chris, A.
  • Stokowski, Stanley, E.
  • Saidin, Zain, K.
  • Zurbrick, Larry, S.

Abrégé

Disclosed are systems and methods for modifying a reticle. In general, inspection results from a plurality of wafers or prediction results from a lithographic model are used to individually decrease the dose or any other optical property at specific locations of the reticle. In one embodiment, any suitable optical property of the reticle is modified by an optical beam, such as a femto-second laser, at specific locations on the reticle so as to widen the process window for such optical property. Examples of optical properties include dose, phase, illumination angle, and birefringence. Techniques for adjusting optical properties at specific locations on a reticle using an optical beam may be practiced for other purposes besides widening the process window.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation

54.

SMALL ULTRA-HIGH NA CATADIOPTRIC OBJECTIVE USING ASPHERIC SURFACES

      
Numéro d'application US2006009059
Numéro de publication 2006/107527
Statut Délivré - en vigueur
Date de dépôt 2006-03-13
Date de publication 2006-10-12
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Shafer, David
  • Armstrong, J., Joseph
  • Chuang, Yung-Ho

Abrégé

A relatively high NA objective employed for use in imaging a specimen and method for imaging a specimen is provided. The objective comprises a lens group having at least one focusing lens configured to receive light energy and form an intermediate image, at least one field lens oriented to receive the intermediate image and provide intermediate light energy, and a Mangin mirror arrangement positioned to receive the intermediate light energy and apply light energy to the specimen. One or more elements may employ an aspheric surface. The objective may provide, in certain instances, an uncorrected spectral bandwidth up to approximately 193 to 266 nanometers and can provide numerical apertures in excess of 0.9. Elements are less than 100 millimeters in diameter and may fit within a standard microscope. The field lens may comprise more than one lens and may be formed of a material different from at least one other lens in the objective.

Classes IPC  ?

  • G02B 17/00 - Systèmes avec surfaces réfléchissantes, avec ou sans éléments de réfraction

55.

SMALL ULTRA-HIGH NA CATADIOPTRIC OBJECTIVE

      
Numéro d'application US2006011342
Numéro de publication 2006/105122
Statut Délivré - en vigueur
Date de dépôt 2006-03-28
Date de publication 2006-10-05
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Shafer, David
  • Armstrong, Joseph, J.

Abrégé

A relatively high spectral bandwidth objective employed for use in imaging a specimen and method for imaging a specimen is provided. The objective comprises a lens group comprising at least one focusing lens configured to receive light energy and form focused light energy. The focused light energy forms an intermediate image. The objective further comprises at least one field lens located in proximity to an intermediate image, and a catadioptric arrangement positioned to receive the intermediate light energy from the at and form controlled light energy. The catadioptric arrangement may comprise at least one Mangin element and can include a meniscus lens element.

Classes IPC  ?

56.

ALL-REFLECTIVE OPTICAL SYSTEMS FOR BROADBAND WAFER INSPECTION

      
Numéro d'application US2006010042
Numéro de publication 2006/104748
Statut Délivré - en vigueur
Date de dépôt 2006-03-21
Date de publication 2006-10-05
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Lange, Steven, R.

Abrégé

All-reflective optical systems for broadband wafer inspection are provided. One system configured to inspect a wafer includes an optical subsystem. All light-directing components of the optical subsystem are reflective optical components except for one or more refractive optical components, which are located only in substantially collimated space. The refractive optical component(s) may include, for example, a refractive beamsplitter element that can be used to separate illumination and collection pupils. The optical subsystem may also include one or more reflective optical components located in substantially collimated space. The optical subsystem is configured for inspection of the wafer across a waveband of greater than 20 nm. In some embodiments, the optical subsystem is configured for inspection of the wafer at wavelengths less than and greater than 200 nm.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

57.

TARGET ACQUISITION AND OVERLAY METROLOGY BASED ON IMAGING BY TWO DIFFRACTED ORDERS

      
Numéro d'application US2006007195
Numéro de publication 2006/094021
Statut Délivré - en vigueur
Date de dépôt 2006-02-28
Date de publication 2006-09-08
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Frommer, Aviv
  • Levinski, Vladimir
  • Smith, Mark, D.
  • Byers, Jeffrey
  • Mack, Chris, A.
  • Adel, Michael, E.

Abrégé

A system for imaging an acquisition target or an overlay or alignment semiconductor target (404) is disclosed. The system includes a beam generator for directing at least one incident beam (402) having a wavelength lamda towards a periodic target (404) having structures with a specific pitch p. A plurality of output beams (406) are scattered from the periodic target (404) in response to the at least one incident beam (402). The system further includes an imaging lens system (410) for passing only a first and second output beam (412a, 412b) from the target (404). The imaging system is adapted such that the angular separation between the captured beams, lamda, and the pitch are selected to cause the first and second output beams (412a, 412b) to form a sinusoidal image (414). The system also includes a sensor for imaging the sinusoidal image or images (414), and a controller for causing the beam generator to direct the at least one incident beam (402) towards the periodic target or targets (404), and for analyzing the sinusoidal image or images (414).

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

58.

ENHANCED SIMULTANEOUS MULTI-SPOT INSPECTION AND IMAGING

      
Numéro d'application US2006007405
Numéro de publication 2006/094115
Statut Délivré - en vigueur
Date de dépôt 2006-03-01
Date de publication 2006-09-08
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Biellak, Stephen
  • Short, David

Abrégé

A system and method for inspection is disclosed. The design includes focusing illumination beams of radiation at an optical axis to an array of illuminated elongated spots on the surface at oblique angle(s) of incidence to the surface, performing a linear scan along a linear axis, wherein the linear axis is offset from the optical axis by a not insubstantial angular quantity, and imaging scattered radiation from the spots onto an array of receivers so that each receiver in the array receives scattered radiation from a corresponding spot in the array of spots.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

59.

PERIODIC DIFFRACTING SYSTEM FOR SAMPLE MEASUREMENT

      
Numéro d'application US2006001067
Numéro de publication 2006/076484
Statut Délivré - en vigueur
Date de dépôt 2006-01-11
Date de publication 2006-07-20
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Bareket, Noah
  • Wack, Daniel, C.
  • Zhao, Guoheng

Abrégé

To measure the critical dimensions and other parameters of a one- or two-dimensional diffracting structure of a film, the calculation may be simplified by first performing a measurement of the thickness of the film, employing a film model that does not vary the critical dimension or parameters related to other characteristics of the structure. The thickness of the film may be estimated using the film model sufficiently accurately so that such estimate may be employed to simplify the structure model for deriving the critical dimension and other parameters related to the two-dimensional diffracting structure.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

60.

METHODS AND SYSTEMS FOR CONTROLLING VARIATION IN DIMENSIONS OF PATTERNED FEATURES ACROSS A WAFER

      
Numéro d'application US2005046636
Numéro de publication 2006/069255
Statut Délivré - en vigueur
Date de dépôt 2005-12-20
Date de publication 2006-06-29
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Levy, Ady
  • Hanna, Michael
  • Wack, Dan
  • Fielden, John
  • Bevis, Christopher, F.
  • Wagner, Larry

Abrégé

Methods and systems for controlling variation in dimensions of patterned features across a wafer are provided. One method includes measuring a characteristic of a latent image formed in a resist at more than one location across a wafer during a lithography process. The method also includes altering a parameter of the lithography process in response to the characteristic to reduce variation in dimensions of patterned features formed across the wafer by the lithography process. Altering the parameter compensates for non-time varying spatial variation in a temperature to which the wafer is exposed during a post exposure bake step of the lithography process and an additional variation in the post exposure bake step.

Classes IPC  ?

  • G03C 5/00 - Procédés photographiques ou agents à cet effet; Régénération de tels agents de traitement

61.

MULTIPLE ANGLE OF INCIDENCE SPECTROSCOPIC SCATTEROMETER SYSTEM

      
Numéro d'application US2005044075
Numéro de publication 2006/062952
Statut Délivré - en vigueur
Date de dépôt 2005-12-06
Date de publication 2006-06-15
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Bareket, Noah
  • Wang, Haiming

Abrégé

Techniques for optimizing the sensitivity of spectroscopic measurement techniques (100) with respect to certain profile variables include selecting desired measurement angles (104) since the measurement sensitivity to each variable depends, at least in part, on the measurement angles of an incident beam. The selected desired set of measurement angles includes both an azimuth angle and a polar angle (106). Optimizing the sensitivity of spectroscopic measurement techniques (100) can also reduce or eliminate measurement correlation among variables to be measured.

Classes IPC  ?

  • G01B 7/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques électriques ou magnétiques
  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques
  • G01B 11/14 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la distance ou la marge entre des objets ou des ouvertures espacés
  • G01B 15/00 - Dispositions pour la mesure caractérisées par l'utilisation d'ondes électromagnétiques ou de radiations de particules, p.ex. par l'utilisation de micro-ondes, de rayons X, de rayons gamma ou d'électrons
  • G01J 3/28 - Etude du spectre
  • G01N 21/86 - Analyse de feuilles mobiles
  • G06F 7/60 - Méthodes ou dispositions pour effectuer des calculs en utilisant une représentation numérique non codée, c. à d. une représentation de nombres sans base; Dispositifs de calcul utilisant une combinaison de représentations de nombres codées et non codées
  • G06F 15/00 - TRAITEMENT ÉLECTRIQUE DE DONNÉES NUMÉRIQUES Équipement de traitement de données en général
  • G06F 17/10 - Opérations mathématiques complexes
  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • G01V 8/00 - Prospection ou détection par des moyens optiques
  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

62.

COMPUTER-IMPLEMENTED METHODS FOR DETECTING AND/OR SORTING DEFECTS IN A DESIGN PATTERN OF A RETICLE

      
Numéro d'application US2005044695
Numéro de publication 2006/063268
Statut Délivré - en vigueur
Date de dépôt 2005-12-07
Date de publication 2006-06-15
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Wu, Kenong
  • Randall, David
  • Nafisi, Kourosh
  • Ynzunza, Ramon
  • Peterson, Ingrid, B.
  • Tribble, Ariel
  • Kowalski, Michal
  • Gao, Lisheng
  • Kulkarni, Ashok

Abrégé

Various computer-implemented methods are provided. One method for sorting defects in a design pattern of a reticle includes searching for defects of interest in inspection data using priority information associated with individual defects in combination with one or more characteristics of a region proximate the individual defects. The priority information corresponds to modulation levels associated with the individual defects. The inspection data is generated by comparing images of the reticle generated for different values of a lithographic variable. The images include at least one reference image and at least one modulated image. A composite reference image can be generated from two or more reference images. The method also includes assigning one or more identifiers to the defects of interest. The identifier(s) may include, for example, a defect classification and/or an indicator identifying if the defects of interest are to be used for further processing.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

63.

CONTINUOUS CLOCKING OF TDI SENSORS

      
Numéro d'application US2005041925
Numéro de publication 2006/055824
Statut Délivré - en vigueur
Date de dépôt 2005-11-18
Date de publication 2006-05-26
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Brown, David, Lee
  • Chuang, Yung-Ho

Abrégé

A method and apparatus for propagating charge through a time division and integration (TDI) sensor is provided. The method and apparatus may be used with the TDI sensor to inspect specimens, the TDI sensor operating to advance an accumulated charge between gates of the TDI sensor. The design comprises controlling voltage waveform shaped for waveforms advancing the accumulated charge between gates in a substantially nonsquare waveform, such as a composite, sinusoidal, or other shaped waveform. Controlling voltage waveform shapes operates at different voltage phases in adjacent gates to provide a substantially de minimis net voltage.

Classes IPC  ?

  • H04N 3/14 - TRANSMISSION D'IMAGES, p.ex. TÉLÉVISION - Détails des dispositifs de balayage des systèmes de télévision; Leur combinaison avec la production des tensions d'alimentation par des moyens non exclusivement optiques-mécaniques au moyen de dispositifs à l'état solide à balayage électronique
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • H01L 27/148 - Capteurs d'images à couplage de charge
  • H04N 5/372 - Capteurs à dispositif à couplage de charge [CCD]; Registres d'intégration à temps de retard [TDI] ou registres à décalage spécialement adaptés au capteur SSIS

64.

COMPUTER-IMPLEMENTED METHODS AND SYSTEMS FOR CLASSIFYING DEFECTS ON A SPECIMEN

      
Numéro d'application US2005036598
Numéro de publication 2006/044426
Statut Délivré - en vigueur
Date de dépôt 2005-10-12
Date de publication 2006-04-27
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Teh, Cho, Huak
  • Torelli, Tommaso
  • David, Dominic
  • Yeung, Chiuman
  • Scott, Michael, Gordon
  • Balasubramanian, Lalita, A.
  • Gao, Lisheng
  • Huang, Tong
  • Zhang, Jianxin
  • Kowalski, Michal
  • Oakley, Jonathan

Abrégé

Various computer-implemented methods for classifying defects on a specimen are provided. One method includes assigning individual defects detected on the specimen to defect groups based on one or more characteristics of the individual defects. The method also includes displaying information about the defect groups to a user. In addition, the method includes allowing the user to assign a classification to each of the defect groups. Systems configured to classify defects on a specimen are also provided. One system includes program instructions executable on a processor for assigning individual defects detected on the specimen to defect groups based on one or more characteristics of the individual defects. The system also includes a user interface configured for displaying information about the defect groups to a user and allowing the user to assign a classification to each of the defect groups.

Classes IPC  ?

  • G06F 15/00 - TRAITEMENT ÉLECTRIQUE DE DONNÉES NUMÉRIQUES Équipement de traitement de données en général
  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou

65.

COHERENT DUV ILLUMINATION FOR SEMICONDUCTOR WAFER INSPECTION

      
Numéro d'application US2005038046
Numéro de publication 2006/045095
Statut Délivré - en vigueur
Date de dépôt 2005-10-19
Date de publication 2006-04-27
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Solarz, Richard, William

Abrégé

An apparatus for inspecting a specimen, such as a semiconductor wafer, is provided. The apparatus comprises a laser energy source, such as a deep ultraviolet (DUV) energy source and an optical fiber arrangement. The optical fiber arrangement comprises a core surrounded by a plurality of optical fibers structures used to frequency broaden energy received from the laser energy source into frequency broadened radiation. The frequency broadened radiation is employed as an illumination source for inspecting the specimen. In one aspect, the apparatus comprises a central core and a plurality of structures generally surrounding the central core, the plurality of fibers surround a hollow core fiber filled with a gas at high pressure, a tapered photonic fiber, and/or a spider web photonic crystalline fiber, configured to receive light energy and produce frequency broadened radiation for inspecting the specimen.

Classes IPC  ?

  • G02B 6/032 - Fibres optiques avec revêtement le noyau ou le revêtement n'étant pas un solide

66.

SURFACE INSPECTION SYSTEM WITH IMPROVED CAPABILITIES

      
Numéro d'application US2005035867
Numéro de publication 2006/041944
Statut Délivré - en vigueur
Date de dépôt 2005-10-04
Date de publication 2006-04-20
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Miller, Lawrence, Robert
  • Vaez-Iravani, Mehdi

Abrégé

Pixel intensities indicative of scattered radiation from portions of the inspected surface surrounding a location of a potential anomaly are also stored so that such data is available for quick review of the pixel intensities within a patch on the surface containing the location of the potential anomaly. Where rotational motion is caused between the illumination beam and the inspected surface, signal-to-noise ratio may be improved by comparing the pixel intensities of pixels at corresponding positions on two different surfaces that are inspected, where corresponding pixels at the same relative locations on the two different surfaces are illuminated and scattered radiation therefrom collected and detected under the same optical conditions.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

67.

FLEXIBLE HYBRID DEFECT CLASSIFICATION FOR SEMICONDUCTOR MANUFACTURING

      
Numéro d'application US2005035357
Numéro de publication 2006/039584
Statut Délivré - en vigueur
Date de dépôt 2005-09-30
Date de publication 2006-04-13
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Huet, Patrick
  • Shanbhag, Maruti
  • Bhagwat, Sandeep
  • Kowalski, Michal
  • Kini, Vivekanand
  • Randall, David
  • Mccauley, Sharon
  • Huang, Tong
  • Zhang, Jianxin
  • Wu, Kenong
  • Gao, Lisheng
  • Tribble, Ariel
  • Kulkarni, Ashok
  • Campochiaro, Cecelia, Anne

Abrégé

Hybrid methods for classifying defects in semiconductor manufacturing are provided. The methods include applying a flexible sequence of rules for defects to inspection data. The sequence of rules includes deterministic rules, statistical rules, hybrid rules, or some combination thereof. The rules included in the sequence may be selected by a user using a graphical interface The method also includes classifying the defects based on results of applying the sequence of rules to the inspection data.

Classes IPC  ?

  • G01R 31/00 - Dispositions pour tester les propriétés électriques; Dispositions pour la localisation des pannes électriques; Dispositions pour tests électriques caractérisées par ce qui est testé, non prévues ailleurs

68.

FIBER AMPLIFIED BASED LIGHT SOURCE FOR SEMICONDUCTOR INSPECTION

      
Numéro d'application US2005028993
Numéro de publication 2006/023448
Statut Délivré - en vigueur
Date de dépôt 2005-08-16
Date de publication 2006-03-02
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Armstrong, Joseph, J.

Abrégé

A laser illuminator for use in an inspection system, such as a semiconductor wafer inspection system or photomask inspection system is provided. The gain medium in the illuminator comprises optical fiber, and amplification, beam splitting, frequency and/or bandwidth conversion, peak power reduction, and q-switching or mode locking may be employed. Certain constructs including doped fiber, gratings, saturable absorbers, and laser diodes are disclosed to provide enhanced illumination.

Classes IPC  ?

  • H01S 3/03 - Lasers, c. à d. dispositifs utilisant l'émission stimulée de rayonnement électromagnétique dans la gamme de l’infrarouge, du visible ou de l’ultraviolet - Détails de structure des tubes laser à décharge dans le gaz

69.

COMPUTER-IMPLEMENTED METHODS FOR GENERATING INPUT FOR A SIMULATION PROGRAM FOR GENERATING A SIMULATED IMAGE OF A RETICLE

      
Numéro d'application US2005025003
Numéro de publication 2006/019919
Statut Délivré - en vigueur
Date de dépôt 2005-07-14
Date de publication 2006-02-23
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Zurbrick, Larry, Steven
  • Lehon, Harold, William

Abrégé

Various computer-implemented methods are provided. One computer-implemented method for generating input for a simulation program includes combining information about a defect detected on a partially fabricated reticle with information about phase assigned to an area of the reticle proximate to the defect. The phase is to be added to the reticle on a level other than a level on which the defect is formed. The defect is detected on the reticle prior to addition of the phase to the reticle. Another computer-implemented method includes generating a simulated image of a defect on a reticle using information about the defect generated by inspection of one level of the reticle in combination with information about a different level on the reticle.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation

70.

QUICK SWAP LOAD PORT

      
Numéro d'application US2005027072
Numéro de publication 2006/015254
Statut Délivré - en vigueur
Date de dépôt 2005-07-29
Date de publication 2006-02-09
Propriétaire KLA TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Aalund, Martin, P.
  • Appelbaum, Ami

Abrégé

Apparatus and method for reducing the load on an automated material handling system (e.g., 111/112) during processing of materials are disclosed. A materials processing tool (102) with one or more load ports (104A)/(104B) is equipped with at least one movable buffer (106A)/(106B) attached to the tool (102) front end. The buffer (106A)/(106B) is configured to receive a materials pod (101) from the automated material handling system (e.g., 111/112) at a storage location (108A)/(108B) and move the pod (101) to one or more of the one or more of the load ports (104A)/(104B) and/or receive a pod from one or more of the one or more load ports (104A)/(104B) and move the pod to the storage location (108A)/(108B). Any pod (101) in the buffer (106A)/(106B) is accessible either manually or by the material handling system (e.g., 111/112).

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

71.

CATADIOPTRIC IMAGING SYSTEM EXHIBITING ENHANCED DEEP ULTRAVIOLET SPECTRAL BANDWIDTH

      
Numéro d'application US2005026835
Numéro de publication 2006/015142
Statut Délivré - en vigueur
Date de dépôt 2005-07-28
Date de publication 2006-02-09
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Armstrong, Joseph, J.
  • Shafer, David, R.

Abrégé

A relatively high spectral bandwidth objective employed for use in imaging a specimen and method for imaging a specimen is provided. The objective comprises a lens group having at least one focusing lens configured to receive light energy and form an intermediate image, at least one field lens oriented to receive the intermediate image and provide intermediate light energy, and a Mangin mirror arrangement positioned to receive the intermediate light energy and apply light energy to the specimen. The objective may provide, in certain instances, a spectral bandwidth up to approximately 193 to 266 manometers and can provide numerical apertures in excess of 0.9. Elements are less than 100 millimeters in diameter and may fit within a standard microscope. The field lens may comprise more than one lens and may be formed of a material different from at least one other lens in the objective.

Classes IPC  ?

72.

TEST STRUCTURES AND METHODS FOR MONITORING OR CONTROLLING A SEMICONDUCTOR FABRICATION PROCESS

      
Numéro d'application US2005025821
Numéro de publication 2006/012388
Statut Délivré - en vigueur
Date de dépôt 2005-07-22
Date de publication 2006-02-02
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Monahan, Kevin
  • Eichelberger, Brad
  • Levy, Ady

Abrégé

Various test structures and methods for monitoring or controlling a semiconductor fabrication process are provided. One test structure formed on a wafer as a monitor for a lithography process includes a bright field target (30) that includes first grating structures (32) . The test structure also includes a dark field target (34) that includes second grating structures (36) . The first and second grating structures have one or more characteristics that are substantially the same as one or more characteristics of device structures formed on the wafer. In addition, the test structure includes a phase shift target (38) having characteristics that are substantially the same as the characteristics of the bright field or dark field target except that grating structures (40) of the phase shift target are shifted in optical phase from the first or second grating structures. One or more characteristics of the targets can be measured and used to determine parameter (s) of the lithography process .

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

73.

SYSTEM AND METHOD FOR SENSING USING ADJUSTABLE MODULATION TRANSFER FUNCTION (MTF)

      
Numéro d'application US2005017844
Numéro de publication 2005/114697
Statut Délivré - en vigueur
Date de dépôt 2005-05-19
Date de publication 2005-12-01
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Armstrong, Joseph, J.
  • Brown, David, Lee
  • Tsai, Bin-Ming, Benjamin

Abrégé

A variable modulated transfer function (MTF) design employing a variable gate voltage source for use in inspecting specimens is disclosed. The design applies a variable gate voltage to each pixel of a sensor, wherein applying the variable gate voltage to each pixel adjusts the MTF of the pixel. MTF adjustment improves adverse effects encountered during inspection, such as abasing and maintaining contrast.

Classes IPC  ?

  • H01J 5/02 - Enceintes; Récipients; Blindages associés; Vannes à vide
  • H01J 40/14 - Circuits non adaptés à une application particulière ou tube et non prévus ailleurs

74.

SYSTEMS AND METHODS FOR MEASUREMENT OR ANALYSIS OF A SPECIMEN

      
Numéro d'application US2005016843
Numéro de publication 2005/114148
Statut Délivré - en vigueur
Date de dépôt 2005-05-13
Date de publication 2005-12-01
Propriétaire KLA-TENCOR TECHNOLOGIES CORP. (USA)
Inventeur(s)
  • Fielden, John
  • Janik, Gary
  • Lee, Shing
  • Zhao, Qiang
  • Kaack, Torsten
  • Yoo, Sungchul
  • Tan, Zhengquan

Abrégé

Various systems for measurement or analysis of a specimen are provided. One system includes a first optical subsystem, which is disposed within a purged environment (224). The purged environment (224) may be provided by a differential purging subsystem. The first optical subsystem performs measurements using vacuum ultraviolet light. This system also includes a second optical subsystem, which is disposed within a non-purged environment. The second optical subsystem performs measurements using non-vacuum ultraviolet light. Another system includes two or more optical subsystems configured to perform measurements of a specimen using vacuum ultraviolet light. The system also includes a purging subsystem configured to maintain a purged environment around the two or more optical subsystems. The purging subsystem is also configured to maintain the same level of purging in both optical subsystems. Some systems also include a cleaning subsystem configured to remove contaminants from a portion of a specimen prior to measurements at vacuum ultraviolet wavelengths.

Classes IPC  ?

  • G01J 4/00 - Mesure de la polarisation de la lumière
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

75.

HIGH THROUGHPUT IMAGE FOR PROCESSING INSPECTION IMAGES

      
Numéro d'application US2005015206
Numéro de publication 2005/109317
Statut Délivré - en vigueur
Date de dépôt 2005-05-02
Date de publication 2005-11-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Miller, Lawrence, R.

Abrégé

Disclosed is an image processing system for analyzing images of a specimen to determine whether the specimen contains defects. The system includes a plurality of processors (202, 306) for receiving image data from a specimen and for analyzing one or more selected patch(es) of such image data to determine whether the specimen has a defect. The system also includes a plurality of buses (206, 450) for coupling the processors together, wherein the bus has the following specifications: a data rate of about 50 gigabits per second or more and an error rate less than about 10-16. In one implementation, the buses are low voltage differential signal type buses, and in another implementation, the buses are hyper transport type buses.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales