KLA-Tencor Technologies Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 346 pour KLA-Tencor Technologies Corporation Trier par
Recheche Texte
Affiner par
Juridiction
        États-Unis 271
        International 75
Date
2021 1
2020 1
Avant 2019 344
Classe IPC
G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette 72
G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales 43
G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures 26
G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques 22
G06F 17/50 - Conception assistée par ordinateur 22
Voir plus
Résultats pour  brevets
  1     2     3     4        Prochaine page

1.

Systems and methods for inspection of a specimen

      
Numéro d'application 14788700
Numéro de brevet 11204330
Statut Délivré - en vigueur
Date de dépôt 2015-06-30
Date de la première publication 2021-12-21
Date d'octroi 2021-12-21
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Vaez-Iravani, Mehdi
  • Rosengaus, Eliezer

Abrégé

Systems and methods for inspection of a specimen are provided. One system includes an illumination subsystem configured to illuminate the specimen by scanning a spot across the specimen. The system also includes a non-imaging detection subsystem configured to generate output signals responsive to light specularly reflected from the spot scanned across the specimen. In addition, the system includes a processor configured to generate images of the specimen using the output signals and to detect defects on the specimen using the images. In one embodiment, the non-imaging detection subsystem includes an objective and a detector. An NA of the objective does not match a pixel size of the detector. In another embodiment, the non-imaging detection subsystem includes an objective having an NA of greater than about 0.05. The system may be configured for multi-spot illumination and multi-channel detection. Alternatively, the system may be configured for single spot illumination and multi-channel detection.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

2.

Methods and systems for inspection of wafers and reticles using designer intent data

      
Numéro d'application 16677652
Numéro de brevet 11348222
Statut Délivré - en vigueur
Date de dépôt 2019-11-07
Date de la première publication 2020-03-05
Date d'octroi 2022-05-31
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Marella, Paul Frank
  • Mccauley, Sharon
  • Chang, Ellis
  • Volk, William
  • Wiley, James
  • Watson, Sterling
  • Kekare, Sagar A.
  • Hess, Carl

Abrégé

Methods and systems for inspection of wafers and reticles using designer intent data are provided. One computer-implemented method includes identifying nuisance defects on a wafer based on inspection data produced by inspection of a reticle, which is used to form a pattern on the wafer prior to inspection of the wafer. Another computer-implemented method includes detecting defects on a wafer by analyzing data generated by inspection of the water in combination with data representative of a reticle, which includes designations identifying different types of portions of the reticle. An additional computer-implemented method includes determining a property of a manufacturing process used to process a wafer based on defects that alter a characteristic of a device formed on the wafer. Further computer-implemented methods include altering or simulating one or more characteristics of a design of an integrated circuit based on data generated by inspection of a wafer.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 1/84 - Inspection

3.

Methods and systems for inspection of wafers and reticles using designer intent data

      
Numéro d'application 15963054
Numéro de brevet 10713771
Statut Délivré - en vigueur
Date de dépôt 2018-04-25
Date de la première publication 2018-08-30
Date d'octroi 2020-07-14
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Marella, Paul Frank
  • Mccauley, Sharon
  • Chang, Ellis
  • Volk, William
  • Wiley, James
  • Watson, Sterling
  • Kekare, Sagar A.
  • Hess, Carl

Abrégé

Methods and systems for inspection of wafers and reticles using designer intent data are provided. One computer-implemented method includes identifying nuisance defects on a wafer based on inspection data produced by inspection of a reticle, which is used to form a pattern on the wafer prior to inspection of the wafer. Another computer-implemented method includes detecting defects on a wafer by analyzing data generated by inspection of the wafer in combination with data representative of a reticle, which includes designations identifying different types of portions of the reticle. An additional computer-implemented method includes determining a property of a manufacturing process used to process a wafer based on defects that alter a characteristic of a device formed on the wafer. Further computer-implemented methods include altering or simulating one or more characteristics of a design of an integrated circuit based on data generated by inspection of a wafer.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 1/84 - Inspection

4.

Methods for improved monitor and control of lithography processes

      
Numéro d'application 13184565
Numéro de brevet 09188974
Statut Délivré - en vigueur
Date de dépôt 2011-07-17
Date de la première publication 2015-11-17
Date d'octroi 2015-11-17
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Mack, Chris
  • Preil, Moshe E

Abrégé

Various computer-implemented methods are provided. One method includes determining errors across a field of a lens of a lithography system based on wafer measurements. In addition, the method includes separating the errors into correctable and non-correctable errors across the field. The errors may include dose errors, focus errors, or dose and focus errors. In another embodiment, the method may include determining correction terms for parameter(s) of the lithography system, which if applied to the parameter(s), the correctable errors would be eliminated resulting in approximately optimal imaging performance of the lithography system. Another method includes controlling one or more parameters of features within substantially an entire printed area on a product wafer using a limited number of wafer measurements performed on a test wafer. The wafer measurements may be performed on a first feature type, and the features that are controlled may include a second, different feature type.

Classes IPC  ?

  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G06Q 10/06 - Ressources, gestion de tâches, des ressources humaines ou de projets; Planification d’entreprise ou d’organisation; Modélisation d’entreprise ou d’organisation

5.

Systems and methods for inspection of a specimen

      
Numéro d'application 11374711
Numéro de brevet 09068917
Statut Délivré - en vigueur
Date de dépôt 2006-03-14
Date de la première publication 2015-06-30
Date d'octroi 2015-06-30
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Vaez-Iravani, Mehdi
  • Rosengaus, Eliezer

Abrégé

Systems and methods for inspection of a specimen are provided. One system includes an illumination subsystem configured to illuminate the specimen by scanning a spot across the specimen. The system also includes a non-imaging detection subsystem configured to generate output signals responsive to light specularly reflected from the spot scanned across the specimen. In addition, the system includes a processor configured to generate images of the specimen using the output signals and to detect defects on the specimen using the images. In one embodiment, the non-imaging detection subsystem includes an objective and a detector. An NA of the objective does not match a pixel size of the detector. In another embodiment, the non-imaging detection subsystem includes an objective having an NA of greater than about 0.05. The system may be configured for multi-spot illumination and multi-channel detection. Alternatively, the system may be configured for single spot illumination and multi-channel detection.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

6.

Methods and systems for utilizing design data in combination with inspection data

      
Numéro d'application 14578317
Numéro de brevet 09401014
Statut Délivré - en vigueur
Date de dépôt 2014-12-19
Date de la première publication 2015-06-04
Date d'octroi 2016-07-26
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Zafar, Khurram
  • Kekare, Sagar
  • Chang, Ellis
  • Park, Allen
  • Rose, Peter

Abrégé

Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G06T 7/00 - Analyse d'image
  • G03F 1/84 - Inspection
  • G06F 17/50 - Conception assistée par ordinateur

7.

Systems and methods for inspecting specimens including specimens that have a substantially rough uppermost layer

      
Numéro d'application 11110383
Numéro de brevet 08582094
Statut Délivré - en vigueur
Date de dépôt 2005-04-20
Date de la première publication 2013-11-12
Date d'octroi 2013-11-12
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Shortt, David
  • Biellak, Stephen
  • Wolters, Christian

Abrégé

Systems and methods for inspecting a specimen are provided. One system includes an illumination subsystem configured to direct light to the specimen at an oblique angle of incidence. The light is polarized in a plane that is substantially parallel to the plane of incidence. The system also includes a detection subsystem configured to detect light scattered from the specimen. The detected light is polarized in a plane that is substantially parallel to the plane of scattering. In addition, the system includes a processor configured to detect defects on the specimen using signals generated by the detection subsystem. In one embodiment, such a system may be configured to detect defects having a size that is less than half of a wavelength of the light directed to the specimen.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01J 4/00 - Mesure de la polarisation de la lumière

8.

Optical imaging system with catoptric objective; broadband objective with mirror; and refractive lenses and broadband optical imaging system having two or more imaging paths

      
Numéro d'application 12750488
Numéro de brevet 09052494
Statut Délivré - en vigueur
Date de dépôt 2010-03-30
Date de la première publication 2013-06-20
Date d'octroi 2015-06-09
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Hwang, Shiow-Hwei
  • Kirk, Gregory L.
  • Jeong, Hwan J.
  • Shafer, David
  • Hudyma, Russel

Abrégé

An optical system may include an objective having at least four mirrors including an outermost mirror with aspect ratio <20:1 and focusing optics including a refractive optical element. The objective provides imaging at numerical aperture >0.7, central obscuration <35% in pupil. An objective may have two or more mirrors, one with a refractive module that seals off an outermost mirror's central opening. A broad band imaging system may include one objective and two or more imaging paths that provide imaging at numerical aperture >0.7 and field of view >0.8 mm. An optical imaging system may comprise an objective and two or more imaging paths. The imaging paths may provide two or more simultaneous broadband images of a sample in two or more modes. The modes may have different illumination and/or collection pupil apertures or different pixel sizes at the sample.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G02B 17/08 - Systèmes catadioptriques
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G03F 1/84 - Inspection

9.

Methods and systems for determining a characteristic of a wafer

      
Numéro d'application 13610860
Numéro de brevet 08422010
Statut Délivré - en vigueur
Date de dépôt 2012-09-12
Date de la première publication 2013-02-07
Date d'octroi 2013-04-16
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Kirk, Michael D.
  • Bevis, Christopher F.
  • Adler, David
  • Bhaskar, Kris

Abrégé

Methods and systems for determining a characteristic of a wafer are provided. One method includes generating output responsive to light from the wafer using an inspection system. The output includes first output corresponding to defects on the wafer and second output that does not correspond to the defects. The method also includes determining the characteristic of the wafer using the second output. One system includes an inspection subsystem configured to illuminate the wafer and to generate output responsive to light from the wafer. The output includes first output corresponding to defects on the wafer and second output that does not correspond to the defects. The system also includes a processor configured to determine the characteristic of the wafer using the second output.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

10.

Enclosure for controlling the environment of optical crystals

      
Numéro d'application 12154337
Numéro de brevet 08298335
Statut Délivré - en vigueur
Date de dépôt 2008-05-06
Date de la première publication 2012-06-28
Date d'octroi 2012-10-30
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Armstrong, J. Joseph

Abrégé

An enclosure that maintains the environment of one or more optical crystals and allows efficient frequency conversion for light at wavelengths at or below 400 nm with minimal stress being placed on the crystals in the presence of varying temperatures. Efficient conversion may include multiple crystals of the same or different materials. Multiple frequency conversion steps may also be employed within a single enclosure. Materials that have been processed specifically to provide increased lifetimes, stability, and damage thresholds over designs previously available are employed. The enclosure allows pre-exposure processing of the crystal(s) such as baking at high temperatures and allowing real time measurement of crystal properties.

Classes IPC  ?

  • C30B 35/00 - Appareillages non prévus ailleurs, spécialement adaptés à la croissance, à la production ou au post-traitement de monocristaux ou de matériaux polycristallins homogènes de structure déterminée

11.

Methods and systems for providing illumination of a specimen for inspection

      
Numéro d'application 11623981
Numéro de brevet 08148900
Statut Délivré - en vigueur
Date de dépôt 2007-01-17
Date de la première publication 2012-04-03
Date d'octroi 2012-04-03
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Kirk, Greg
  • Solarz, Rich

Abrégé

Methods and systems for providing illumination of a specimen for inspection are provided. One embodiment relates to a system configured to provide illumination of a specimen for inspection. The system includes an electrodeless lamp configured to generate light. The system is further configured such that the light illuminates the specimen during the inspection. Another embodiment relates to a system configured to inspect a specimen. The system includes an electrodeless lamp configured to generate light and one or more optical elements configured to direct the light to the specimen. The system also includes a detection subsystem configured to generate output responsive to light from the specimen. The output can be used to detect defects on the specimen. An additional embodiment relates to a method for providing illumination of a specimen for inspection. The method includes illuminating the specimen during the inspection with light generated by an electrodeless lamp.

Classes IPC  ?

  • H01J 65/00 - Lampes sans électrode à l'intérieur de l'enceinte; Lampes comportant au moins une électrode principale à l'extérieur de l'enceinte

12.

Computer-implemented methods, carrier media, and systems for creating a defect sample for use in selecting one or more parameters of an inspection recipe

      
Numéro d'application 11859342
Numéro de brevet 08135204
Statut Délivré - en vigueur
Date de dépôt 2007-09-21
Date de la première publication 2012-03-13
Date d'octroi 2012-03-13
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Chen, Chien-Huei (adam)
  • Becker, Barry
  • Chen, Hong
  • Van Riet, Michael
  • Maher, Chris
  • Chen, Stephanie
  • Tummala, Suryanarayana
  • Zhang, Yong

Abrégé

Computer-implemented methods, carrier media, and systems for creating a defect sample for use in selecting one or more parameters of an inspection recipe are provided. One method includes separating defects into bins based on regions in which the defects are located, defect types, and values of the defects for parameter(s) of a detection algorithm. The method also includes determining a number of the defects to be selected from each bin by distributing a user-specified target number of defects across the bins. In addition, the method includes selecting defects from the bins based on the determined numbers thereby creating a defect sample for use in selecting values of parameter(s) of the detection algorithm for use in the inspection recipe.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

13.

Methods and systems for utilizing design data in combination with inspection data

      
Numéro d'application 13115957
Numéro de brevet 08139843
Statut Délivré - en vigueur
Date de dépôt 2011-05-25
Date de la première publication 2011-11-24
Date d'octroi 2012-03-20
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Kulkarni, Ashok
  • Duffy, Brian
  • Maayah, Kais
  • Rouse, Gordon

Abrégé

Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

14.

Computer-implemented methods, carrier media, and systems for determining sizes of defects detected on a wafer

      
Numéro d'application 11855589
Numéro de brevet 08000905
Statut Délivré - en vigueur
Date de dépôt 2007-09-14
Date de la première publication 2011-08-16
Date d'octroi 2011-08-16
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Chen, Stephanie
  • Balakrishnan, Subramanian
  • Wallingford, Richard

Abrégé

Computer-implemented methods, carrier media, and systems for determining sizes of defects detected on a wafer are provided. One computer-implemented method includes separating the defects into groups based on output acquired for the defects by multiple channels of an inspection system used to detect the defects on the wafer. The method also includes separating the defects in one or more of the groups into subgroups based on the output acquired for the defects by one or more of the multiple channels. In addition, the method includes determining the sizes of one or more of the defects in one or more of the subgroups separately based on the output acquired for the defects by only one of the multiple channels and a calibration parameter. The calibration parameter is different for each of the subgroups and is acquired by using another system to measure actual sizes of defects detected on other wafers.

Classes IPC  ?

  • G01B 5/28 - Dispositions pour la mesure caractérisées par l'utilisation de techniques mécaniques pour mesurer la rugosité ou l'irrégularité des surfaces

15.

Systems configured to generate output corresponding to defects on a specimen

      
Numéro d'application 13080647
Numéro de brevet 08355140
Statut Délivré - en vigueur
Date de dépôt 2011-04-05
Date de la première publication 2011-07-28
Date d'octroi 2013-01-15
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Hwang, Shiow-Hwei
  • Fu, Tao-Yi
  • Liu, Xiumei

Abrégé

Systems configured to generate output corresponding to defects on a specimen and systems configured to generate phase information about defects on a specimen are provided. One system includes an optical subsystem that is configured to create interference between a test beam and a reference beam. The test beam and the reference beam are reflected from the specimen. The system also includes a detector that is configured to generate output representative of the interference between the test and reference beams. The interference increases contrast between the output corresponding to the defects and output corresponding to non-defective portions of the specimen.

Classes IPC  ?

16.

Site based quantification of substrate topography and its relation to lithography defocus and overlay

      
Numéro d'application 12778013
Numéro de brevet 08768665
Statut Délivré - en vigueur
Date de dépôt 2010-05-11
Date de la première publication 2011-07-14
Date d'octroi 2014-07-01
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Veeraraghavan, Sathish
  • Sinha, Jaydeep

Abrégé

A method and system for modeling and analyzing wafer nanotopography data utilizes a nonlinear contact finite element model. Inputs to the model include lithography chuck parameters and site-based geometry data. Outputs from the model include in-plane distortions and out-of-plane distortions, from which defocus and overlay can be derived.

Classes IPC  ?

  • G06G 7/48 - Calculateurs analogiques pour des procédés, des systèmes ou des dispositifs spécifiques, p.ex. simulateurs
  • G06F 17/50 - Conception assistée par ordinateur

17.

Methods for accurate identification of an edge of a care area for an array area formed on a wafer and methods for binning defects detected in an array area formed on a wafer

      
Numéro d'application 13032577
Numéro de brevet 08213705
Statut Délivré - en vigueur
Date de dépôt 2011-02-22
Date de la première publication 2011-06-16
Date d'octroi 2012-07-03
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Chen, Chien-Huei (adam)
  • Wang, Xiaoming
  • Shifrin, Eugene
  • Fang, Tsung-Pao

Abrégé

Methods for identifying an edge of a care area for an array area formed on a wafer and/or for binning defects detected in the array area are provided. One method for identifying an edge of a care area for an array area formed on a wafer includes determining a value for a difference image as a function of position from a position known to be inside the array area to a position known to be outside of the array area. The method also includes identifying the position that is located closest to the inside of the array area and that has the value greater than a threshold as a position of the edge of the care area.

Classes IPC  ?

  • G06K 9/62 - Méthodes ou dispositions pour la reconnaissance utilisant des moyens électroniques

18.

Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout

      
Numéro d'application 11745173
Numéro de brevet 07873504
Statut Délivré - en vigueur
Date de dépôt 2007-05-07
Date de la première publication 2011-01-18
Date d'octroi 2011-01-18
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s) Bevis, Christopher F.

Abrégé

Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout are provided. One computer-implemented method for creating a metrology target structure design for a reticle layout includes simulating how one or more initial metrology target structures will be formed on a wafer at different values of one or more parameters of one or more fabrication processes that will be used to form a metrology target structure on the wafer based on the one or more fabrication processes, one or more parameters of the wafer, and one or more initial metrology target structure designs. The method also includes simulating one or more spectra that will be produced by a predetermined metrology system configuration for each of the simulated one or more initial metrology target structures. In addition, the method includes creating the metrology target structure design based on the one or more spectra.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur
  • G02B 23/10 - Télescopes ou lunettes d'approche, p.ex. jumelles; Périscopes; Instruments pour voir à l'intérieur de corps creux; Viseurs; Pointage optique ou appareils de visée comprenant des prismes ou des miroirs projetant dans le champ de vision des indications supplémentaires, p.ex. données par des collimateurs

19.

Methods for forming device structures on a wafer

      
Numéro d'application 11681008
Numéro de brevet 07867693
Statut Délivré - en vigueur
Date de dépôt 2007-03-01
Date de la première publication 2011-01-11
Date d'octroi 2011-01-11
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s) Mieher, Walter D.

Abrégé

Methods for forming device structures on a wafer are provided. One method includes transferring approximately an inverse of patterned features formed in a positive resist layer on the wafer to a device material on the wafer to form the device structures in the device material. Another method includes transferring approximately an inverse of patterned features formed in a sacrificial layer on the wafer to a device material on the wafer to form the device structures in the device material.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage

20.

Fourier filters, inspection systems, and systems for fabricating fourier filters

      
Numéro d'application 11683554
Numéro de brevet 07869020
Statut Délivré - en vigueur
Date de dépôt 2007-03-08
Date de la première publication 2011-01-11
Date d'octroi 2011-01-11
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s) Lee, Shing

Abrégé

Fourier filters, inspection systems, and systems for fabricating Fourier filters are provided. One Fourier filter configured for use in an inspection system includes a substrate that is substantially transparent to light from a specimen illuminated by the inspection system. The Fourier filter also includes an array of patterned features formed on the substrate. The patterned features are formed of one or more pigments on the substrate. The patterned features are configured to block light reflected and diffracted from structures on the specimen and to allow light scattered from defects on the specimen to pass through the substrate.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

21.

Z-stage with dynamically driven stage mirror and chuck assembly

      
Numéro d'application 12849718
Numéro de brevet 08390789
Statut Délivré - en vigueur
Date de dépôt 2010-08-03
Date de la première publication 2010-11-25
Date d'octroi 2013-03-05
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Harb, Salam
  • Douglas, Kent
  • Zwyno, Marek
  • Haslim, James
  • Hamilton, Jon

Abrégé

Substrate support apparatus and methods are disclosed. Motion of a substrate chuck relative to a stage mirror may be dynamically compensated by sensing a displacement of the substrate chuck relative to the stage mirror and coupling a signal proportional to the displacement in one or more feedback loops with Z stage actuators and/or XY stage actuators coupled to the stage mirror. Alternatively, a substrate support apparatus may include a Z stage plate a stage mirror, one or more actuators attached to the Z stage plate, and a substrate chuck mounted to the stage mirror with constraints on six degrees of freedom of movement of the substrate chuck. The actuators impart movement to the Z stage in a Z direction as the Z stage plate is scanned in a plane perpendicular to the Z direction. The actuators may include force flexures having a base portion attached to the Z stage plate and a cantilever portion extending in a lateral direction from the base portion. The cantilever portion may include a parallelogram flexure coupled between the base portion and a free end of the cantilever portion.

Classes IPC  ?

  • G03B 27/58 - Platines, margeurs ou autres supports pour le matériau sensible

22.

Apparatus and method for e-beam dark imaging with perspective control

      
Numéro d'application 11998502
Numéro de brevet 07838833
Statut Délivré - en vigueur
Date de dépôt 2007-11-30
Date de la première publication 2010-11-23
Date d'octroi 2010-11-23
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Lent, Matthew
  • Borowicz, Stanislaw Marek
  • Nasser-Ghodsi, Mehran
  • Macdonald, Niles Kenneth
  • Yang, Ye
  • Krzeczowski, Kenneth J.

Abrégé

A method of imaging using an electron beam. An incident electron beam is focused onto the specimen surface, a scattered electron beam is extracted from the specimen surface, and a plurality of dark field signals are detected using a detection system. An interpolated dark field signal is generated using the plurality of dark field signals. In addition, a bright field signal may be detected using the detection system, and a final interpolated signal may be generated using the interpolated dark field signal and the bright field signal. User input may be received which determines a degree of interpolation between two adjacent dark field signals so as to generate the interpolated dark field signal and which determines an amount of interpolation between the interpolated dark field signal and the bright field signal so as to generate a final interpolated signal. Other embodiments, aspects and features are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou

23.

Method for optimizing the configuration of a scatterometry measurement system

      
Numéro d'application 11999814
Numéro de brevet 07826072
Statut Délivré - en vigueur
Date de dépôt 2007-12-06
Date de la première publication 2010-11-02
Date d'octroi 2010-11-02
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Wack, Daniel C.
  • Veldman, Andrei
  • Ratner, Edward R.
  • Hench, John
  • Bareket, Noah

Abrégé

The present application discloses a method of model-based measurement of semiconductor device features using a scatterometer system. The method includes at least the following steps. A cost function is defined depending upon a plurality of variable parameters of the scatterometer system and upon a plurality of variable parameters for computer-implemented modeling to determine measurement results. Constraints are established for the plurality of variable parameters of the scatterometer system and for the plurality of variable parameters for the computer-implemented modeling. A computer-implemented optimization procedure is performed to determine an optimized global set of parameters, including both the variable parameters of the scatterometer system and the variable parameters for the computer-implemented modeling, which result in a minimal value of the cost function. Finally, the optimized global set of parameters is applied to configure the scatterometer system and the computer-implemented modeling. Other embodiments, features and aspects are also disclosed herein.

Classes IPC  ?

  • G01B 11/14 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la distance ou la marge entre des objets ou des ouvertures espacés
  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)

24.

Lamp with shaped wall thickness, method of making same and optical apparatus

      
Numéro d'application 11695425
Numéro de brevet 07804248
Statut Délivré - en vigueur
Date de dépôt 2007-04-02
Date de la première publication 2010-09-28
Date d'octroi 2010-09-28
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Li, Jim W.
  • Kirk, Christopher

Abrégé

A lamp, a method of making a bulb for a lamp and an optical apparatus are disclosed. The lamp may include an anode and cathode disposed within a bulb. The bulb may include an optically refractive wall that is rotationally symmetric about an axis. A thickness of the wall may decrease with increase in azimuthal angle between an equatorial plane of the bulb and a point on the bulb's surface. The apparatus may include the lamp and an ellipsoidal reflecting surface. An alternative apparatus may include an ellipsoidal reflecting surface and a lamp having an anode and cathode within a bulb. A gap between the anode and cathode may be proximate a focus of the reflecting surface. The bulb may include an optically refractive wall configured such that a 0.24/0.13 NA power ratio for bulb light coupled to the interior ellipsoidal reflecting surface is between about 3.0 and about 3.3.

Classes IPC  ?

25.

Pulse stretcher

      
Numéro d'application 11862104
Numéro de brevet 07804866
Statut Délivré - en vigueur
Date de dépôt 2007-09-26
Date de la première publication 2010-09-28
Date d'octroi 2010-09-28
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Shchemelinin, Anatoly
  • Bezel, Ilya

Abrégé

A pulse stretcher includes a plurality of substantially parallel slab-like optical paths of different optical path lengths and a plurality of reflecting surfaces, which are totally internally reflecting surface formed, located at an end of the corresponding optical path. Due to the different path lengths, the pulse stretcher can spread out an input pulse into a stretched pulse having a longer pulse duration and proportionally lower intensity than the initial pulse.

Classes IPC  ?

  • H01S 3/10 - Commande de l'intensité, de la fréquence, de la phase, de la polarisation ou de la direction du rayonnement, p.ex. commutation, ouverture de porte, modulation ou démodulation

26.

Methods and systems for inspection of a specimen using different inspection parameters

      
Numéro d'application 12796047
Numéro de brevet 08384887
Statut Délivré - en vigueur
Date de dépôt 2010-06-08
Date de la première publication 2010-09-23
Date d'octroi 2013-02-26
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Lange, Steve R.
  • Marella, Paul Frank
  • Ceglio, Nat
  • Hwang, Shiow-Hwei
  • Fu, Tao-Yi

Abrégé

Methods and systems for inspection of a specimen using different parameters are provided. One computer-implemented method includes determining optimal parameters for inspection based on selected defects. This method also includes setting parameters of an inspection system at the optimal parameters prior to inspection. Another method for inspecting a specimen includes illuminating the specimen with light having a wavelength below about 350 nm and with light having a wavelength above about 350 nm. The method also includes processing signals representative of light collected from the specimen to detect defects or process variations on the specimen. One system configured to inspect a specimen includes a first optical subsystem coupled to a broadband light source and a second optical subsystem coupled to a laser. The system also includes a third optical subsystem configured to couple light from the first and second optical subsystems to an objective, which focuses the light onto the specimen.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

27.

Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle

      
Numéro d'application 12780864
Numéro de brevet 08111900
Statut Délivré - en vigueur
Date de dépôt 2010-05-15
Date de la première publication 2010-09-09
Date d'octroi 2012-02-07
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Wu, Kenong
  • Randall, David
  • Nafisi, Kourosh
  • Ynzunza, Ramon
  • Peterson, Ingrid B.
  • Tribble, Ariel
  • Kowalski, Michal
  • Gao, Lisheng
  • Kulkarni, Ashok

Abrégé

Various computer-implemented methods are provided. One method for sorting defects in a design pattern of a reticle includes searching for defects of interest in inspection data using priority information associated with individual defects in combination with one or more characteristics of a region proximate the individual defects. The priority information corresponds to modulation levels associated with the individual defects. The inspection data is generated by comparing images of the reticle generated for different values of a lithographic variable. The images include at least one reference image and at least one modulated image. A composite reference image can be generated from two or more reference images. The method also includes assigning one or more identifiers to the defects of interest. The identifier(s) may include, for example, a defect classification and/or an indicator identifying if the defects of interest are to be used for further processing.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G01R 31/26 - Test de dispositifs individuels à semi-conducteurs
  • G21K 5/00 - Dispositifs d'irradiation
  • G06F 17/50 - Conception assistée par ordinateur

28.

Defect review using image segmentation

      
Numéro d'application 12710076
Numéro de brevet 07792351
Statut Délivré - en vigueur
Date de dépôt 2010-02-22
Date de la première publication 2010-09-07
Date d'octroi 2010-09-07
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Toth, Gabor D.
  • Masnaghetti, Douglas K.

Abrégé

One embodiment pertains to a method for reviewing a potential defect on a substrate from one electron image. An image of an area containing the potential defect is obtained using a charged-particle apparatus. At least three image segments within the image are determined. The three segments are transformably identical to each other, and one of said three segments includes the potential defect. Another embodiment pertains to a method for reviewing a potential defect on a substrate by obtaining an electron-beam image of a relatively large field of view containing a first image segment. The first image segment is substantially smaller than the field of view and includes a location of the potential defect. A comparison image segment within the field of view is determined. The comparison image segment is transformably identical to the first image segment. Other embodiments and features are also disclosed.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

29.

Bright-field/dark-field detector with integrated electron energy spectrometer

      
Numéro d'application 11888380
Numéro de brevet 07755043
Statut Délivré - en vigueur
Date de dépôt 2007-07-31
Date de la première publication 2010-07-13
Date d'octroi 2010-07-13
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Gubbens, Alexander J.

Abrégé

One embodiment relates to an electron beam apparatus including an electron beam column, an immersion objective lens, a Wien filter, a bright-field/dark-field detector, and an electron energy spectrometer. The bright-field/dark-field detector comprising an opening configured to pass through bright field secondary electrons and one or more detector segments around the opening configured to detect dark field secondary electrons. The electron energy spectrometer configured to detect the bright field secondary electrons passed through the opening and to measure an energy spectrum of the bright field secondary electrons. Other embodiments, aspects and features are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou

30.

Model-based measurement of semiconductor device features with feed forward use of data for dimensionality reduction

      
Numéro d'application 11879227
Numéro de brevet 07716003
Statut Délivré - en vigueur
Date de dépôt 2007-07-16
Date de la première publication 2010-05-11
Date d'octroi 2010-05-11
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Wack, Daniel C.
  • Veldman, Andrei
  • Ratner, Edward R.
  • Hench, John
  • Bareket, Noah

Abrégé

The present application discloses a new technique which reduces the dimensionality of a feature model by re-use of data that has been obtained by a prior measurement. The data re-used from the prior measurement may range from parameters, such as geometrical dimensions, to more complex data that describe the electromagnetic scattering function of an underlying layer (for example, a local solution of the electric field properties).

Classes IPC  ?

  • G01R 27/28 - Mesure de l'atténuation, du gain, du déphasage ou des caractéristiques qui en dérivent dans des réseaux électriques quadripoles, c. à d. des réseaux à double entrée; Mesure d'une réponse transitoire

31.

Methods and systems for detection of selected defects particularly in relatively noisy inspection data

      
Numéro d'application 12119179
Numéro de brevet 07711521
Statut Délivré - en vigueur
Date de dépôt 2008-05-12
Date de la première publication 2010-05-04
Date d'octroi 2010-05-04
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Wu, Sean
  • Chen, Haiguang
  • Kirk, Michael D.

Abrégé

Various methods and systems for detection of selected defects particularly in relatively noisy inspection data are provided. One method includes applying a spatial filter algorithm to raw inspection data acquired across an area on a substrate to determine a first portion of the raw inspection data that has a higher probability of being a selected type of defect than a second portion of the raw inspection data. The selected type of defect includes a non-point defect. The method also includes generating a raw two-dimensional map illustrating the first portion of the raw inspection data. In addition, the method includes searching the raw two-dimensional map for an event that has spatial characteristics that approximately match spatial characteristics of the selected type of defect. The method further includes determining if the event corresponds to a defect having the selected type.

Classes IPC  ?

32.

Methods and systems for providing illumination of a specimen for a process performed on the specimen

      
Numéro d'application 11771430
Numéro de brevet 07705331
Statut Délivré - en vigueur
Date de dépôt 2007-06-29
Date de la première publication 2010-04-27
Date d'octroi 2010-04-27
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Kirk, Greg
  • Solarz, Rich
  • Kirk, Chris
  • Delgado, Gil
  • Schemelinin, Anatoly
  • Li, Jim
  • Chen, Qibiao
  • Wang, Charles Nenghe

Abrégé

Methods and systems for providing illumination of a specimen for a process performed on the specimen are provided. One system configured to provide illumination of a specimen for a process performed on the specimen includes a laser configured to generate excitation light. The system also includes focusing optics configured to focus the excitation light to a plasma in an electrodeless lamp such that the plasma generates light. The system is also configured such that the light illuminates the specimen during the process.

Classes IPC  ?

33.

Multiple exposure lithography technique and method

      
Numéro d'application 11675529
Numéro de brevet 07691549
Statut Délivré - en vigueur
Date de dépôt 2007-02-15
Date de la première publication 2010-04-06
Date d'octroi 2010-04-06
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Glasser, Lance A.

Abrégé

1>0.25 limit.

Classes IPC  ?

  • G03C 5/00 - Procédés photographiques ou agents à cet effet; Régénération de tels agents de traitement
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

34.

Cross hatched metrology marks and associated method of use

      
Numéro d'application 11759183
Numéro de brevet 07671990
Statut Délivré - en vigueur
Date de dépôt 2007-06-06
Date de la première publication 2010-03-02
Date d'octroi 2010-03-02
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Adel, Michael E.
  • Levinski, Vladimir
  • Kassel, Elyakim

Abrégé

The present invention is directed to novel metrology marks and methods for their use. The marks comprise cross hashed overlay metrology marks formed on a substrate including a plurality of target regions. The mark including a first grating structure formed in one layer of a target region and including a second grating structure formed in another layer of the target region. The periodic features of the first and second grating structures are oriented substantially orthogonal one another to form a cross-hatched metrology target in the target region. Additionally, the patent discloses methods of employing the metrology marks to obtain overlay metrology measurements.

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

35.

Methods and systems for inspection of a wafer or setting up an inspection process

      
Numéro d'application 11533079
Numéro de brevet 07659975
Statut Délivré - en vigueur
Date de dépôt 2006-09-19
Date de la première publication 2010-02-09
Date d'octroi 2010-02-09
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Ramani, Vijay
  • Mochizuki, Koki

Abrégé

Methods and systems for inspection of a wafer or setting up an inspection process are provided. One method for inspection of a wafer includes detecting first and second sets of defects on the wafer by performing different scans of the wafer with different focus offsets. The method also includes comparing results of the different scans for a defect of the first set and a defect of the second set that are detected at approximately the same location on the wafer. The method further includes determining if the defect of the first and second sets is a defect of an underlying layer or an uppermost layer formed on the wafer based on results of the comparing step.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

36.

System and method for illuminating a specimen with uniform angular and spatial distribution

      
Numéro d'application 11836216
Numéro de brevet 07654715
Statut Délivré - en vigueur
Date de dépôt 2007-08-09
Date de la première publication 2010-02-02
Date d'octroi 2010-02-02
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Chen, Qibiao
  • Wang, Charles N.

Abrégé

A system for illuminating a specimen are disclosed herein. In general, the system may include an illumination source configured to generate light with an uneven distribution at a pupil plane and field stop of the system, a lightpipe coupled for redistributing the light across the pupil plane and field stop, and at least one optical element configured to direct the redistributed light onto a specimen. The lightpipe may generally include a cone-shaped portion and a rectangular-shaped portion. The cone-shaped portion is configured for modifying an angular distribution of the generated light, so that the redistributed light is uniformly distributed across the pupil plane of the system. The rectangular-shaped portion is formed contiguous with the cone-shaped portion and configured for modifying a spatial distribution of the generated light, so that the redistributed light is uniformly distributed across a field stop of the system. A method for illuminating a specimen and a system for inspecting a specimen are also disclosed herein.

Classes IPC  ?

  • F21V 33/00 - Combinaisons structurales de dispositifs d'éclairage avec d'autres objets, non prévues ailleurs

37.

Broadband plasma light sources with cone-shaped electrode for substrate processing

      
Numéro d'application 11224921
Numéro de brevet 07652430
Statut Délivré - en vigueur
Date de dépôt 2005-09-12
Date de la première publication 2010-01-26
Date d'octroi 2010-01-26
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Delgado, Gildardo R.

Abrégé

Broadband radiation may be generated by supplying a gas mixture containing hydrogen and/or deuterium and/or helium and/or neon to an enclosure, generating a plasma inside the enclosure with the gas mixture. Broadband radiation generated as a result of the plasma discharge to a substrate may be optically coupled to a substrate located outside the enclosure.

Classes IPC  ?

  • H01J 17/04 - Tubes à décharge en atmosphère gazeuse à cathodes solides - Détails Écrans
  • H01J 61/04 - Electrodes; Ecrans; Blindage

38.

Apparatus and methods for determining overlay and uses of same

      
Numéro d'application 12560229
Numéro de brevet 07876438
Statut Délivré - en vigueur
Date de dépôt 2009-09-15
Date de la première publication 2010-01-07
Date d'octroi 2011-01-25
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Ghinovker, Mark
  • Adel, Michael E.
  • Poplawski, Jorge
  • Seligson, Joel L.

Abrégé

Disclosed are techniques and apparatus are provided for determining overlay error or pattern placement error (PPE) across the field of a scanner which is used to pattern a sample, such as a semiconductor wafer or device. This determination is performed in-line on the product wafer or device. That is, the targets on which overlay or PPE measurements are performed are provided on the product wafer or device itself. The targets are either distributed across the field by placing the targets within the active area or by distributing the targets along the streets (the strips or scribe areas) which are between the dies of a field. The resulting overlay or PPE that is obtained from targets distributed across the field may then be used in a number of ways to improve the fabrication process for producing the sample. For instance, the resulting overlay or PPE may be used to more accurately predict device performance and yield, more accurately correct a deviating photolithography scanning tool, or determine wafer lot disposition.

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques
  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G01B 11/14 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la distance ou la marge entre des objets ou des ouvertures espacés
  • G01B 7/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques électriques ou magnétiques
  • G01B 5/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques mécaniques pour mesurer la longueur, la largeur ou l'épaisseur
  • G01C 17/38 - Test, étalonnage ou compensation des compas
  • G01R 31/26 - Test de dispositifs individuels à semi-conducteurs

39.

Methods and systems for utilizing design data in combination with inspection data

      
Numéro d'application 12534547
Numéro de brevet 08923600
Statut Délivré - en vigueur
Date de dépôt 2009-08-03
Date de la première publication 2009-12-03
Date d'octroi 2014-12-30
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Zafar, Khurram
  • Kekare, Sagar
  • Chang, Ellis
  • Park, Allen
  • Rose, Peter

Abrégé

Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G06F 17/50 - Conception assistée par ordinateur
  • G03F 1/84 - Inspection

40.

Non-critical phase matching in CLBO to generate sub-213nm wavelengths

      
Numéro d'application 11346021
Numéro de brevet 07627007
Statut Délivré - en vigueur
Date de dépôt 2006-03-10
Date de la première publication 2009-12-01
Date d'octroi 2009-12-01
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Armstrong, J. Joseph
  • Chuang, Yung-Ho

Abrégé

rd harmonic frequency laser energy.

Classes IPC  ?

  • H01S 3/10 - Commande de l'intensité, de la fréquence, de la phase, de la polarisation ou de la direction du rayonnement, p.ex. commutation, ouverture de porte, modulation ou démodulation

41.

Front face and edge inspection

      
Numéro d'application 11751559
Numéro de brevet 07623228
Statut Délivré - en vigueur
Date de dépôt 2007-05-21
Date de la première publication 2009-11-24
Date d'octroi 2009-11-24
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Muckenhirn, Sylvain

Abrégé

A surface and edge inspection system and the method for inspecting a substrate are disclosed. An edge inspection tool performs edge inspection of one or more substrates while a surface inspection tool performs surface inspection of a different substrate. A recipe for the surface inspection may be modified based on the results of the edge inspection.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

42.

Systems and methods for measurement of a specimen with vacuum ultraviolet light

      
Numéro d'application 12506019
Numéro de brevet 07764376
Statut Délivré - en vigueur
Date de dépôt 2009-07-20
Date de la première publication 2009-11-12
Date d'octroi 2010-07-27
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Fielden, John
  • Janik, Gary
  • Lee, Shing

Abrégé

Various systems for measurement of a specimen are provided. One system includes a first optical subsystem, which is disposed within a purged environment. The purged environment may be provided by a differential purging subsystem. The first optical subsystem performs measurements using vacuum ultraviolet light. This system also includes a second optical subsystem, which is disposed within a non-purged environment. The second optical subsystem performs measurements using non-vacuum ultraviolet light. Another system includes two or more optical subsystems configured to perform measurements of a specimen using vacuum ultraviolet light. The system also includes a purging subsystem configured to maintain a purged environment around the two or more optical subsystems. The purging subsystem is also configured to maintain the same level of purging in both optical subsystems. Some systems also include a cleaning subsystem configured to remove contaminants from a portion of a specimen prior to measurements at vacuum ultraviolet wavelengths.

Classes IPC  ?

  • G01J 4/00 - Mesure de la polarisation de la lumière

43.

Charged particle microscopy using super resolution

      
Numéro d'application 11623576
Numéro de brevet 07598492
Statut Délivré - en vigueur
Date de dépôt 2007-01-16
Date de la première publication 2009-10-06
Date d'octroi 2009-10-06
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Krzeczowski, Kenneth J.
  • Nasser-Ghodsi, Mehran
  • Lent, Mathew H.

Abrégé

A method for improving throughput in review of images from a charged particle beam microscopy tool and a charged particle beam microscopy tool are disclosed.

Classes IPC  ?

  • H01J 37/26 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions
  • G01N 23/225 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en mesurant l'émission secondaire de matériaux en utilisant des microsondes électroniques ou ioniques

44.

Calibration standard for a dual beam (FIB/SEM) machine

      
Numéro d'application 12116890
Numéro de brevet 07576317
Statut Délivré - en vigueur
Date de dépôt 2008-05-07
Date de la première publication 2009-08-18
Date d'octroi 2009-08-18
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Tortonese, Marco
  • Nasser-Ghodsi, Mehran

Abrégé

Calibration of measurements of features made with a system having a micromachining tool and an analytical tool is disclosed. The measurements can be calibrated with a standard having a calibrated feature with one or more known dimensions. The standard may have one or more layers including a single crystal layer. The calibrated feature may include one or more vertical features characterized by one or more known dimensions and formed through the single crystal layer. A trench is formed in a sample with the micromachining tool to reveal a sample feature. The analytical tool measures one or more dimensions of the sample feature corresponding to one or more known dimensions of the calibrated feature. The known dimensions of the calibrated feature are measured with the same analytical tool. The measured dimensions of the sample feature and the calibrated feature can then be compared to the known dimensions of the calibrated feature.

Classes IPC  ?

  • G01N 21/84 - Systèmes spécialement adaptés à des applications particulières

45.

Methods and systems for generating an inspection process for an inspection system

      
Numéro d'application 11125429
Numéro de brevet 07570797
Statut Délivré - en vigueur
Date de dépôt 2005-05-10
Date de la première publication 2009-08-04
Date d'octroi 2009-08-04
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Wang, David
  • Huet, Patrick
  • Huang, Tong
  • Plihal, Martin
  • Chen, Adam Chien-Huei
  • Van Riet, Mike
  • Hill, Stewart

Abrégé

Methods and systems for generating an inspection process for an inspection system are provided. One computer implemented method includes generating inspection data for a selected defect on a specimen at different values of one or more image acquisition parameters of the inspection system. The method also includes determining which of the different values produces the best inspection data for the selected defect. In addition, the method includes selecting the different values determined to produce the best inspection data as values of the one or more image acquisition parameters to be used for the inspection process.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

46.

Electrical defect detection using pre-charge and sense scanning with prescribed delays

      
Numéro d'application 11357374
Numéro de brevet 07560939
Statut Délivré - en vigueur
Date de dépôt 2006-02-17
Date de la première publication 2009-07-14
Date d'octroi 2009-07-14
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • De, Indranil
  • Weiner, Kurt H.
  • Kanai, Kenichi

Abrégé

One embodiment relates to an electron beam apparatus. The apparatus includes a mechanism for moving a substrate relative to the electron beam column at a controlled speed. A probe beam gun is configured to generate a probe beam through the column, and a pre-charging beam gun configured to generate a pre-charging beam through the column. Control circuitry configured to pre-scan a scan line with the pre-charging beam at least once and to subsequently sense scan the scan line with the probe beam at least once. The control circuitry is further configured so that there is a prescribed delay time between said pre-scanning and said sense scanning of the scan line. In another embodiment, a single electron beam and a deflection system configured to deflect the electron beam into pre-scans and sense scans. Other embodiments and features are also disclosed.

Classes IPC  ?

  • G01R 31/305 - Test sans contact utilisant des faisceaux électroniques

47.

Systems configured to inspect a specimen

      
Numéro d'application 11464567
Numéro de brevet 07535563
Statut Délivré - en vigueur
Date de dépôt 2006-08-15
Date de la première publication 2009-05-19
Date d'octroi 2009-05-19
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Chen, Grace Hsiu-Ling
  • Fu, Tao-Yi
  • Sullivan, Jamie
  • Lee, Shing
  • Kirk, Greg

Abrégé

Systems configured to inspect a specimen are provided. One system includes an illumination subsystem configured to illuminate a two-dimensional field of view on the specimen. The system also includes a collection subsystem configured to collect light scattered from the specimen. In addition, the system includes an array of micro-mirrors configured to reflect a two-dimensional pattern of light diffracted from periodic structures on the specimen out of the optical path of the system without reflecting light across an entire dimension of the array out of the optical path. The system further includes a detection subsystem configured to generate output responsive to light reflected by the array into the optical path. The output can be used to detect defects on the specimen. In one embodiment, the system includes an optical element configured to increase the uniformity of the wavefront of the light reflected by the array into the optical path.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

48.

DYNAMIC PATTERN GENERATOR WITH CUP-SHAPED STRUCTURE

      
Numéro d'application US2008079332
Numéro de publication 2009/061579
Statut Délivré - en vigueur
Date de dépôt 2008-10-09
Date de publication 2009-05-14
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Grella, Luca
  • Baranov, Leonid
  • Gotkis, Yehiel

Abrégé

One embodiment relates to a dynamic pattern generator (112) for reflection electron beam lithography which includes conductive pixel pads (902), an insulative border (906) surrounding each conductive pixel pad so as to electrically isolate the conductive pixel pads from each other, and conductive elements (908) coupled to the conductive pixel pads for controllably applying voltages to the conductive pixel pads. The conductive pixel pads are advantageously cup shaped with a bottom portion, a sidewall portion, and an open cavity (904). Another embodiment relates to a pattern generating apparatus which includes a well structure with sidewalls and a cavity configured above each conductive pixel pad (1210). The sidewalls may include alternating layers of conductive (1212, 1214, 1216) and insulative (1202, 1204, 1206) materials. Other embodiments, aspects and feature are also disclosed.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

49.

Dynamic pattern generator with cup-shaped structure

      
Numéro d'application 11983069
Numéro de brevet 07755061
Statut Délivré - en vigueur
Date de dépôt 2007-11-07
Date de la première publication 2009-05-07
Date d'octroi 2010-07-13
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Grella, Luca
  • Baranov, Leonid
  • Gotkis, Yehiel

Abrégé

One embodiment relates to a dynamic pattern generator for reflection electron beam lithography which includes conductive pixel pads, an insulative border surrounding each conductive pixel pad so as to electrically isolate the conductive pixel pads from each other, and conductive elements coupled to the conductive pixel pads for controllably applying voltages to the conductive pixel pads. The conductive pixel pads are advantageously cup shaped with a bottom portion, a sidewall portion, and an open cavity. Another embodiment relates to a pattern generating apparatus which includes a well structure with sidewalls and a cavity configured above each conductive pixel pad. The sidewalls may include alternating layers of conductive and insulative materials. Other embodiments, aspects and feature are also disclosed.

Classes IPC  ?

  • G21K 1/02 - Dispositions pour manipuler des particules ou des rayonnements ionisants, p.ex. pour focaliser ou pour modérer utilisant des diaphragmes, des collimateurs

50.

Temperature stabilization for substrate processing

      
Numéro d'application 11532748
Numéro de brevet 07528349
Statut Délivré - en vigueur
Date de dépôt 2006-09-18
Date de la première publication 2009-05-05
Date d'octroi 2009-05-05
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Gotkis, Yehiel
  • Donde, Arik
  • Lordi, Vincenzo

Abrégé

A temperature stabilization system, method, composition of matter and substrate processing system are disclosed. A heat absorbing material is disposed in thermal contact with a substrate. The heat absorbing material is characterized by a solid-liquid phase transition temperature that is in a desired temperature range for material processing the substrate. When the substrate is subjected to material processing that results in heat transfer into or out of the substrate the solid-liquid phase transition of the heat absorbing material stabilizes the temperature of the substrate.

Classes IPC  ?

  • H05B 3/68 - Dispositions pour le chauffage spécialement adaptées aux plaques de cuisinière ou aux plaques chaudes analogues
  • F26B 19/00 - Machines ou appareils pour le séchage d'un matériau solide ou d'objets non couverts par les groupes

51.

Dynamic centering for behind-the-lens dark field imaging

      
Numéro d'application 11725022
Numéro de brevet 07525090
Statut Délivré - en vigueur
Date de dépôt 2007-03-16
Date de la première publication 2009-04-28
Date d'octroi 2009-04-28
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Krzeczowski, Kenneth J.

Abrégé

One embodiment relates to a method of behind-the-lens dark-field imaging using a scanning electron microscope apparatus. An incident beam is focused onto a specimen surface using an immersion objective lens, and the incident beam is deflected so as to scan the incident electron beam over a field of view of the specimen surface. A secondary electron beam is detected using a segmented detector to obtain a set of pixel data for each segment of the detector. Scan-dependent movement of the secondary electron beam over the segmented detector is compensated for by processing using a dynamic centering algorithm to generate a set of virtual pixel data for each segment of a virtual detector. At least one set of the virtual pixel data is used to generate a dark field image. Other embodiments, aspects, and features are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou

52.

Surface inspection system using laser line illumination with two dimensional imaging

      
Numéro d'application 11875240
Numéro de brevet 07525649
Statut Délivré - en vigueur
Date de dépôt 2007-10-19
Date de la première publication 2009-04-28
Date d'octroi 2009-04-28
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Leong, Jenn-Kuen
  • Zhao, Guoheng
  • Vaez-Iravani, Mehdi

Abrégé

A surface inspection apparatus and a method are provided which include an illumination system configured to focus a beam of radiation at a non-orthogonal incidence angle to form an illumination line on a surface substantially in a plane of incidence of the focused beam. The apparatus and method further include a collection system configured to image the illumination line onto an array of detectors oriented parallel to the illumination line. The collection system includes an imaging lens for collecting light scattered from the illumination line, a focusing lens for focusing the collected light, and the array of detectors, each configured to detect a corresponding portion of the illumination line. The collection system may be configured to image the illumination line such that the width of the imaged illumination line on the array of detectors is larger than the pixel size of the detectors along the same direction.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

53.

Remote live video inspection

      
Numéro d'application 10659223
Numéro de brevet 07522664
Statut Délivré - en vigueur
Date de dépôt 2003-09-10
Date de la première publication 2009-04-21
Date d'octroi 2009-04-21
Propriétaire
  • KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
  • KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Bhaskar, Krishnamurthy
  • Roulo, Mark J.
  • Van Riet, Michael
  • Hill, Stewart K.

Abrégé

A system for inspecting a substrate. An inspector includes a sensor that inspects the substrate and produces a video stream. A control interface sends and receives a control stream, and a network receives and transports the video stream and the control stream as two separate data streams. A desktop receives the video stream and the control stream as two separate data streams. The desktop has a display that presents the video stream, and a user interface controls that control the operation of the inspector, using the control stream across the network.

Classes IPC  ?

  • H04N 7/12 - Systèmes dans lesquels le signal de télévision est transmis par un canal ou une pluralité de canaux parallèles, la bande passante de chaque canal étant inférieure à la largeur de bande du signal de télévision
  • H04N 7/18 - Systèmes de télévision en circuit fermé [CCTV], c. à d. systèmes dans lesquels le signal vidéo n'est pas diffusé
  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

54.

Systems, circuits and methods for reducing thermal damage and extending the detection range of an inspection system

      
Numéro d'application 12251227
Numéro de brevet 07671982
Statut Délivré - en vigueur
Date de dépôt 2008-10-14
Date de la première publication 2009-04-16
Date d'octroi 2010-03-02
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Wolters, Christian H.
  • Romanovsky, Anatoly

Abrégé

Inspection systems, circuits, and methods are provided to enhance defect detection by reducing thermal damage to large particles by dynamically altering the incident laser beam power level supplied to the specimen during a surface inspection scan. In one embodiment, an inspection system includes an illumination subsystem for directing light to a specimen at a first power level, a detection subsystem for detecting light scattered from the specimen, and a power attenuator subsystem for dynamically altering the power level directed to the specimen based on the scattered light detected from the specimen. The power attenuator subsystem may reduce the directed light to a second power level, which is lower than the first, if the detected scattered light exceeds a predetermined threshold level. The systems and methods described herein may also be used to extend the measurement detection range of an inspection system by providing a variable-power inspection system.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

55.

X-ray metrology with diffractors

      
Numéro d'application 11388298
Numéro de brevet 07519153
Statut Délivré - en vigueur
Date de dépôt 2006-03-24
Date de la première publication 2009-04-14
Date d'octroi 2009-04-14
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Moore, Jeffrey A.

Abrégé

An electron probe microanalysis (EPMA) system includes a graded multilayer diffractor for tightly focusing output x-rays onto an x-ray detector. The graded multilayer construction of the diffractor allows a high x-ray flux to be generated in a small measurement spot, which results in a high measurement throughput. The enhanced measurement efficiency provided by the graded multilayer diffractor can allow an EPMA system to be used as an in-line monitoring tool. The graded multilayer diffractor can include multiple reflecting surfaces. Multiple graded multilayer diffractors can also be used.

Classes IPC  ?

  • G01N 23/20 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux
  • G21K 1/06 - Dispositions pour manipuler des particules ou des rayonnements ionisants, p.ex. pour focaliser ou pour modérer utilisant la diffraction, la réfraction ou la réflexion, p.ex. monochromateurs

56.

Electrical process monitoring using mirror-mode electron microscopy

      
Numéro d'application 11451698
Numéro de brevet 07514681
Statut Délivré - en vigueur
Date de dépôt 2006-06-13
Date de la première publication 2009-04-07
Date d'octroi 2009-04-07
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Marella, Paul F.
  • Mccord, Mark A.
  • Mankos, Marian
  • Adler, David L.

Abrégé

One embodiment relates to a method of inspecting a substrate using electrons. Mirror-mode electron-beam imaging is performed on a region of the substrate at multiple voltage differences between an electron source and a substrate, and image data is stored corresponding to the multiple voltage differences. A calculation is made of a measure of variation of an imaged aspect of a feature in the region with respect to the voltage difference between the electron source and the substrate. Other embodiments and features are also disclosed.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/145 - Combinaisons de lentilles électrostatiques et magnétiques
  • G21K 7/00 - Microscopes à rayons gamma ou à rayons X

57.

Intelligent inspection based on test chip probe failure maps

      
Numéro d'application 11853615
Numéro de brevet 07715997
Statut Délivré - en vigueur
Date de dépôt 2007-09-11
Date de la première publication 2009-03-12
Date d'octroi 2010-05-11
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Long, Garrett John
  • Olakengil, Saju Francis
  • Gaud, Pramod
  • Roberts, John Jacob

Abrégé

A method and system for semiconductor wafer inspection is disclosed. Each of a plurality of dies on a wafer may be probed with a probe tool to produce probe data. The probe data may be used to generate one or more non-repeating care areas. An inspection tool may use the non-repeating care areas to perform an inspection of the semiconductor wafer.

Classes IPC  ?

  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement
  • G01B 21/30 - Dispositions pour la mesure ou leurs détails, où la technique de mesure n'est pas couverte par les autres groupes de la présente sous-classe, est non spécifiée ou est non significative pour mesurer la rugosité ou l'irrégularité des surfaces
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

58.

Systems and method for simultaneously inspecting a specimen with two distinct channels

      
Numéro d'application 11848516
Numéro de brevet 07782452
Statut Délivré - en vigueur
Date de dépôt 2007-08-31
Date de la première publication 2009-03-05
Date d'octroi 2010-08-24
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Mehanian, Courosh
  • Hansen, Hans J.
  • Wang, Yingjian
  • Ben-Dov, Yuval
  • Li, Zheng-Wu
  • Hill, Andrew V.
  • Vaez-Iravani, Mehdi
  • Zimmermann, Kurt

Abrégé

A system is provided herein for inspecting a specimen. In one embodiment, the system may include a dual-channel microscope, two illuminators, each coupled for illuminating a different channel of the dual-channel microscope and two detectors, each coupled to a different channel of the dual-channel microscope for acquiring images of the specimen. Means are provided for separating the channels of the dual-channel microscope, so that the two detectors can acquire the images of the specimen at substantially the same time. In one embodiment, the channels of the dual-channel microscope may be spectrally separated by configuring the two illuminators, so that they produce light in two substantially non-overlapping spectral ranges. In another embodiment, the channels of the dual-channel microscope may be spatially separated by positioning the two detectors, so that the illumination light do not overlap and the fields of view of the two detectors do not overlap within a field of view of an objective lens included within the system.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

59.

Apparatus and methods for predicting a semiconductor parameter across an area of a wafer

      
Numéro d'application 11955262
Numéro de brevet 07873585
Statut Délivré - en vigueur
Date de dépôt 2007-12-12
Date de la première publication 2009-03-05
Date d'octroi 2011-01-18
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Izikson, Pavel

Abrégé

Apparatus and methods are provided for predicting a plurality of unknown parameter values (e.g. overlay error or critical dimension) using a plurality of known parameter values. In one embodiment, the method involves training a neural network to predict the plurality of parameter values. In other embodiments, the prediction process does not depend on an optical property of a photolithography tool. Such predictions may be used to determine wafer lot disposition.

Classes IPC  ?

  • G06F 15/18 - dans lesquels un programme est modifié en fonction de l'expérience acquise par le calculateur lui-même au cours d'un cycle complet; Machines capables de s'instruire (systèmes de commande adaptatifs G05B 13/00;intelligence artificielle G06N)
  • G06E 1/00 - Dispositions pour traiter exclusivement des données numériques
  • G06E 3/00 - Dispositifs non prévus dans le groupe , p.ex. pour traiter des données analogiques hybrides
  • G06G 7/00 - Dispositifs dans lesquels l'opération de calcul est effectuée en faisant varier des grandeurs électriques ou magnétiques

60.

Process window optical proximity correction

      
Numéro d'application 11549943
Numéro de brevet 07493590
Statut Délivré - en vigueur
Date de dépôt 2006-10-16
Date de la première publication 2009-02-17
Date d'octroi 2009-02-17
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Hess, Carl
  • Shi, Ruifang
  • Verma, Gaurav

Abrégé

J) from across the process window in addition to, or in lieu of, a best focus and a best exposure for the stepper. The reticle design is adjusted in a way that reduces the deviation as measured by the error function, thereby producing an adjusted reticle design.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur
  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • G06F 17/17 - Opérations mathématiques complexes Évaluation de fonctions par des procédés d'approximation, p.ex. par interpolation ou extrapolation, par lissage ou par le procédé des moindres carrés
  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G21K 5/00 - Dispositifs d'irradiation

61.

Systems, circuits and methods for extending the detection range of an inspection system by avoiding detector saturation

      
Numéro d'application 12193469
Numéro de brevet 07777875
Statut Délivré - en vigueur
Date de dépôt 2008-08-18
Date de la première publication 2009-02-12
Date d'octroi 2010-08-17
Propriétaire KLA-Tencor Technologies Corp, (USA)
Inventeur(s)
  • Wolters, Christian H.
  • Romanovsky, Anatoly
  • Slobodov, Alexander

Abrégé

Inspection systems, circuits and methods are provided to enhance defect detection by addressing anode saturation as a limiting factor of the measurement detection range of a photomultiplier tube (PMT) detector. In accordance with one embodiment of the invention, a method for inspecting a specimen includes directing light to the specimen and detecting light scattered from the specimen. The step of detecting may include monitoring an anode current of the PMT detector, and detecting features, defects or light scattering properties of the specimen using the anode current until the anode current reaches a predetermined threshold. Thereafter, the method may use a dynode current of the PMT for detecting the features, defects or light scattering properties of the specimen.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

62.

Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan

      
Numéro d'application 11837208
Numéro de brevet 07711514
Statut Délivré - en vigueur
Date de dépôt 2007-08-10
Date de la première publication 2009-02-12
Date d'octroi 2010-05-04
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Park, Allen
  • Chang, Ellis

Abrégé

Various computer-implemented methods, carrier media, and systems for generating a metrology sampling plan are provided. One computer-implemented method for generating a metrology sampling plan includes identifying one or more individual defects that have one or more attributes that are abnormal from one or more attributes of a population of defects in which the individual defects are included. The population of defects is located in a predetermined pattern on a wafer. The method also includes generating the metrology sampling plan based on results of the identifying step such that one or more areas on the wafer in which the one or more identified individual defects are located are sampled during metrology.

Classes IPC  ?

63.

Systems configured to inspect a wafer

      
Numéro d'application 11837220
Numéro de brevet 07746459
Statut Délivré - en vigueur
Date de dépôt 2007-08-10
Date de la première publication 2009-02-12
Date d'octroi 2010-06-29
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Kadkly, Azmi
  • Biellak, Stephen
  • Vaez-Iravani, Mehdi

Abrégé

Systems configured to inspect a wafer are provided. One system includes an illumination subsystem configured to illuminate an area on the wafer by directing light to the wafer at an oblique angle of incidence. The system also includes a collection subsystem configured to simultaneously collect light scattered from different spots within the illuminated area and to focus the light collected from the different spots to corresponding positions in an image plane. In addition, the system includes a detection subsystem configured to separately detect the light focused to the corresponding positions in the image plane and to separately generate output responsive to the light focused to the corresponding positions in the image plane. The output can be used to detect defects on the wafer.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

64.

Charge-control method and apparatus for electron beam imaging

      
Numéro d'application 11509137
Numéro de brevet 07488938
Statut Délivré - en vigueur
Date de dépôt 2006-08-23
Date de la première publication 2009-02-10
Date d'octroi 2009-02-10
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Gubbens, Alexander Jozef
  • Borowicz, Mark
  • Yang, Ye

Abrégé

One embodiment relates to a method of electron beam imaging of a target area of a substrate. During an imaging phase, an electron beam is controllably scanned over the target area of the substrate, and extracted secondary electrons are detected. An electric field at a surface of the substrate is changed from an original electric field after the imaging phase. During a charge control phase, the electron beam is controllably scanned over the target area of the substrate. The electric field at the surface of the substrate is reverted back to the original electric field after the charge control phase. The imaging and charge control frames are interleaved. Other embodiments and features are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou
  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support
  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

65.

Semiconductor device property extraction, generation, visualization, and monitoring methods

      
Numéro d'application 11830485
Numéro de brevet 08611639
Statut Délivré - en vigueur
Date de dépôt 2007-07-30
Date de la première publication 2009-02-05
Date d'octroi 2013-12-17
Propriétaire KLA-Tencor Technologies Corp (USA)
Inventeur(s)
  • Kulkarni, Ashok
  • Chen, Chien-Huei (adam)
  • Campochiaro, Cecelia
  • Wallingford, Richard
  • Zhang, Yong
  • Duffy, Brian

Abrégé

Various methods, carrier media, and systems for monitoring a characteristic of a specimen are provided. One computer-implemented method for monitoring a characteristic of a specimen includes determining a property of individual pixels on the specimen using output generated by inspecting the specimen with an inspection system. The method also includes determining a characteristic of individual regions on the specimen using the properties of the individual pixels in the individual regions. The method further includes monitoring the characteristic of the specimen based on the characteristics of the individual regions.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

66.

Systems and methods for inspecting a wafer with increased sensitivity

      
Numéro d'application 12097172
Numéro de brevet 07697129
Statut Délivré - en vigueur
Date de dépôt 2006-12-14
Date de la première publication 2009-01-08
Date d'octroi 2010-04-13
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Haller, Kurt L.
  • Shortt, David
  • Wolters, Christian

Abrégé

Systems and methods for inspecting a wafer with increased sensitivity are provided. One system includes an inspection subsystem configured to direct light to a spot on the wafer and to generate output signals responsive to light scattered from the spot on the wafer. The system also includes a gas flow subsystem configured to replace a gas located proximate to the spot on the wafer with a medium that scatters less of the light than the gas thereby increasing the sensitivity of the system. In addition, the system includes a processor configured to detect defects on the wafer using the output signals.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

67.

EXTERNAL BEAM DELIVERY SYSTEM FOR LASER DARK-FIELD ILLUMINATION IN A CATADIOPTRIC OPTICAL SYSTEM

      
Numéro d'application US2008007652
Numéro de publication 2008/156812
Statut Délivré - en vigueur
Date de dépôt 2008-06-18
Date de publication 2008-12-24
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Armstrong, J., Joseph

Abrégé

A catadioptric objective configured to inspect a specimen is provided. The catadioptric objective includes a Mangin element having one surface at a first axial location and an extension element positioned together with the Mangin element. The extension element provides a second surface at a second axial location. Certain light energy reflected from the specimen passes to the second surface of the extension element, the Mangin element, and through a plurality of lenses. An aspheric surface may be provided, and light energy may be provided to the specimen using diverting elements such as prisms or reflective surfaces.

Classes IPC  ?

  • G02B 17/08 - Systèmes catadioptriques
  • G02B 13/18 - Objectifs optiques spécialement conçus pour les emplois spécifiés ci-dessous avec des lentilles ayant une ou plusieurs surfaces non sphériques, p.ex. pour réduire l'aberration géométrique

68.

Accelerating electrostatic lens gun for high-speed electron beam inspection

      
Numéro d'application 11485542
Numéro de brevet 07465922
Statut Délivré - en vigueur
Date de dépôt 2006-07-12
Date de la première publication 2008-12-16
Date d'octroi 2008-12-16
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Mccord, Mark A.

Abrégé

One embodiment relates to an electron beam apparatus for inspecting or reviewing a manufactured substrate. The apparatus includes a cathode, an extraction electrode, a lens electrode, an anode, deflectors, electron lenses, and a detector. The extraction voltage is positive relative to the cathode voltage, such that electrons are emitted from the cathode. Advantageously, the lens voltage is positive relative to the extraction voltage, such that electrons are accelerated from the extraction electrode to the lens electrode while the electrons are condensed to form an electron beam. The electron beam is transmitted through an opening of the anode and is controllably deflected to scan it over an area of the surface. The detector detects secondary electrons from the substrate so as to form an image of the scanned area. Other embodiments and features are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou
  • G21K 7/00 - Microscopes à rayons gamma ou à rayons X
  • A61N 5/00 - Thérapie par radiations
  • G21G 5/00 - Conversion supposée des éléments chimiques par réaction chimique
  • G21K 1/08 - Déviation, concentration ou focalisation du faisceau par des moyens électriques ou magnétiques
  • H01J 3/14 - Dispositifs pour la focalisation ou la réflexion du rayon ou du faisceau
  • H01J 3/26 - Dispositifs de déviation du rayon ou du faisceau
  • H01J 49/42 - Spectromètres à stabilité de trajectoire, p.ex. monopôles, quadripôles, multipôles, farvitrons

69.

Systems and methods for inspecting a specimen with light at varying power levels

      
Numéro d'application 11759092
Numéro de brevet 07787114
Statut Délivré - en vigueur
Date de dépôt 2007-06-06
Date de la première publication 2008-12-11
Date d'octroi 2010-08-31
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Wolters, Christian
  • Meyer, Jon

Abrégé

Systems and methods for inspecting a specimen with light at varying power levels are provided. One system configured to inspect a specimen includes a light source configured to generate light. The system also includes a power attenuator subsystem configured to alter a power level of the light directed to the specimen during inspection between at least two power levels including a full power level and a minimum power level equal to or greater than about 10% of the full power level. In addition, the system includes a detection subsystem configured to generate output responsive to the light scattered from the specimen. The output can be used to detect defects on the specimen.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

70.

Computer-implemented methods, carrier media, and systems for detecting defects on a wafer based on multi-core architecture

      
Numéro d'application 11759580
Numéro de brevet 07474967
Statut Délivré - en vigueur
Date de dépôt 2007-06-07
Date de la première publication 2008-12-11
Date d'octroi 2009-01-06
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Zhong, Lei
  • Mccormack, John

Abrégé

Computer-implemented methods, carrier media, and systems for detecting defects on a wafer based on multi-core architecture are provided. One computer-implemented method for detecting defects on a wafer includes acquiring output for the wafer generated by an inspection system. Dies are formed on the wafer, and multiple cores are formed in the dies. The method also includes detecting defects on the wafer by comparing the output for a first of the multiple cores to the output for a second of the multiple cores. The first and second of the multiple cores are formed in the same die, different dies, or the same die and different dies.

Classes IPC  ?

  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)

71.

Systems and methods for determining a characteristic of a specimen

      
Numéro d'application 11421929
Numéro de brevet 07463349
Statut Délivré - en vigueur
Date de dépôt 2006-06-02
Date de la première publication 2008-12-09
Date d'octroi 2008-12-09
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Biellak, Stephen
  • Shortt, David

Abrégé

Systems and methods for determining a characteristic of a specimen are provided. One system includes an illumination subsystem configured to direct light to a first set of spots on the specimen at a normal angle of incidence and to simultaneously direct light to a second set of spots on the specimen at an oblique angle of incidence. The system also includes a detection subsystem configured to detect light scattered from the first and second sets of spots simultaneously and to generate first output responsive to the light scattered from the first set of spots and second output responsive to the light scattered from the second set of spots. The first and second outputs can be used to determine the characteristic of the specimen.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

72.

Inspection systems and methods for extending the detection range of an inspection system by forcing the photodetector into the non-linear range

      
Numéro d'application 11751293
Numéro de brevet 07746462
Statut Délivré - en vigueur
Date de dépôt 2007-05-21
Date de la première publication 2008-11-27
Date d'octroi 2010-06-29
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Cai, Zhongping
  • Slobodov, Alexander
  • Romanovsky, Anatoly
  • Wolters, Christian H.

Abrégé

An inspection system and method is provided herein for increasing the detection range of the inspection system. According to one embodiment, the inspection system may include a photodetector having a plurality of stages, which are adapted to convert light scattered from a specimen into an output signal, and a voltage divider network coupled for extending the detection range of the photodetector (and thus, the detection range of the inspection system) by saturating at least one of the stages. This forces the photodetector to operate in a non-linear manner. However, measurement inaccuracies are avoided by calibrating the photodetector output to remove any non-linear effects that may be created by intentionally saturating the at least one of the stages. In one example, a table of values may be generated during a calibration phase to convert the photodetector output into an actual amount of scattered light.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

73.

Detection of defects using transient contrast

      
Numéro d'application 11982096
Numéro de brevet 07453274
Statut Délivré - en vigueur
Date de dépôt 2007-10-31
Date de la première publication 2008-11-18
Date d'octroi 2008-11-18
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Zhong, Lei
  • Fretwell, John
  • Sherman, Kara Lee
  • Fiordalice, Robert William

Abrégé

One embodiment relates to a method for detecting defects in circuitry formed on a semiconductor substrate. A first scan of said circuitry is performed by scanning a primary electron beam in a first scan direction relative to said circuitry, and secondary electrons emitted during the first scan are detected so as to form a first voltage-contrast image. A second scan of said circuitry is performed by scanning the primary electron beam in a second scan direction relative to said circuitry, and secondary electrons emitted during the second scan are detected so as to form a second voltage-contrast image. The second scan direction is non-parallel to the first scan direction. The first and second voltage-contrast images are then compared to detect electrically-active defects. Other embodiments, aspects and features are also disclosed.

Classes IPC  ?

  • G01R 31/305 - Test sans contact utilisant des faisceaux électroniques

74.

Electronically-variable immersion electrostatic lens

      
Numéro d'application 11260586
Numéro de brevet 07446320
Statut Délivré - en vigueur
Date de dépôt 2005-10-26
Date de la première publication 2008-11-04
Date d'octroi 2008-11-04
Propriétaire KLA-Tencor Technologies Corproation (USA)
Inventeur(s)
  • Mccord, Mark A.
  • Bertsche, Kirk J.
  • Machuca, Francisco

Abrégé

One embodiment relates to an electronically-variable electrostatic immersion lens in an electron beam apparatus. The electrostatic immersion lens includes a top electrode configured with a first voltage applied thereto, an upper bottom electrode configured with a second voltage applied thereto, and a lower bottom electrode configured with a third voltage applied thereto. The third voltage is controlled separately from the second voltage. Other embodiments are also disclosed.

Classes IPC  ?

75.

Apparatus and methods for providing selective defect sensitivity

      
Numéro d'application 11838139
Numéro de brevet 07440093
Statut Délivré - en vigueur
Date de dépôt 2007-08-13
Date de la première publication 2008-10-21
Date d'octroi 2008-10-21
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Xiong, Yalin
  • Saidin, Zain K.
  • Watson, Sterling G.

Abrégé

Disclosed are techniques and apparatus for accounting for differing levels of defect susceptibility in different pattern areas of a reticle in an inspection of such reticle or in inspection of a semiconductor device fabricated from such reticle. In general terms, two or more areas of a reticle are analyzed to quantify each area's susceptibility to defects on the final semiconductor product. That is, each reticle area is analyzed and given a quantified defect susceptibility value, such as a MEEF (mask error enhancement factor) value. Such analysis includes analysis of an image that is estimated to result from the lithography tool which is to be utilized to expose semiconductor devices with the reticle. The defect susceptibility value generally depends on the reticle area's density and whether the correspond area of the estimated lithography image has intensity values which are proximate to an exposure threshold for a particular resist material to be used on the final semiconductor device. The quantified defect susceptibility for each reticle area is then used to selectively set the inspection sensitivity for each reticle area or its corresponding semiconductor device region. The reticle and/or resulting semiconductor device may then be inspected based on the selected sensitivity levels for the different areas of the sample under test.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

76.

Systems and methods for measurement of a specimen with vacuum ultraviolet light

      
Numéro d'application 12103320
Numéro de brevet 07623239
Statut Délivré - en vigueur
Date de dépôt 2008-04-15
Date de la première publication 2008-10-16
Date d'octroi 2009-11-24
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Fielden, John
  • Janik, Gary
  • Lee, Shing

Abrégé

Various systems for measurement of a specimen are provided. One system includes an optical subsystem configured to perform measurements of a specimen using vacuum ultraviolet light and non-vacuum ultraviolet light. This system also includes a purging subsystem that is configured to maintain a purged environment around the optical subsystem during the measurements. Another system includes a cleaning subsystem configured to remove contaminants from a specimen prior to measurement. In one embodiment, the cleaning subsystem may be a laser-based cleaning subsystem that is configured to remove contaminants from a localized area on the specimen. The system also includes an optical subsystem that is configured to perform measurements of the specimen using vacuum ultraviolet light. The optical subsystem is disposed within a purged environment. In some embodiments, the system may include a differential purging subsystem that is configured to provide the purged environment for the optical subsystem.

Classes IPC  ?

  • G01J 4/00 - Mesure de la polarisation de la lumière

77.

Dark field inspection apparatus and methods

      
Numéro d'application 11009663
Numéro de brevet 07436503
Statut Délivré - en vigueur
Date de dépôt 2004-12-10
Date de la première publication 2008-10-14
Date d'octroi 2008-10-14
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Chen, Grace Hsiu-Ling
  • Fu, Tao-Yi
  • Mapoles, Evan

Abrégé

Accordingly, the present invention provides methods and apparatus for performing a darkfield inspection on a specimen having periodic structures thereon while substantially reducing or eliminating the long range ringing response, which is typically produced by a traditional Fourier filter mask used to eliminate the diffraction caused by the periodic structures. In one embodiment, an apparatus for inspecting a specimen by detecting optical beams scattered from the specimen. The apparatus includes a beam generator for providing and directing an incident beam towards a specimen and an array subtraction device for substantially subtracting a periodic component from an output beam scattered from the specimen in response to the incident beam. The periodic component corresponds to at least one periodic structure on the specimen, and the subtraction is performed so as to substantially reduce or eliminate a ringing response from the output beam. The subtraction is also performed so as to substantially prevent subtracting any actual defect components from the output beam. The apparatus further includes a detector for receiving the output beam and generating an output image or signal based on the output beam.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G02B 27/42 - Optique de diffraction

78.

Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique

      
Numéro d'application 10934786
Numéro de brevet 07430898
Statut Délivré - en vigueur
Date de dépôt 2004-09-03
Date de la première publication 2008-10-07
Date d'octroi 2008-10-07
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Weber-Grabau, Michael
  • Bevis, Christopher F.
  • Faeyrman, Michael
  • Zamir, Ofir

Abrégé

A system that includes an optical subsystem and an atomic force microscope probe is provided. The optical subsystem is configured to generate positional information about a location on a surface of the specimen. The system is configured to position the probe proximate the location based on the positional information. One method includes generating positional information about a location on a surface of a specimen with an optical subsystem. The method also includes positioning an atomic force microscopy probe proximate the location based on the positional information. Another system includes an optical subsystem configured to measure overlay of a wafer using scatterometry. The system also includes an atomic force microscope configured to measure a characteristic of a feature on the wafer. An additional method includes measuring overlay of a wafer using scatterometry. The method also includes measuring a characteristic of a feature on the wafer using atomic force microscopy.

Classes IPC  ?

  • G01B 5/28 - Dispositions pour la mesure caractérisées par l'utilisation de techniques mécaniques pour mesurer la rugosité ou l'irrégularité des surfaces
  • G01N 13/16 -

79.

Apparatus and methods for reducing tool-induced shift during overlay metrology

      
Numéro d'application 10913188
Numéro de brevet 07433039
Statut Délivré - en vigueur
Date de dépôt 2004-08-06
Date de la première publication 2008-10-07
Date d'octroi 2008-10-07
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Levinski, Vladimir
  • Sela, Ilan

Abrégé

Disclosed are apparatus and methods for determining a minimum tool-induced shift (TIS) during an overlay metrology procedure. In a specific embodiment, a method of determining overlay or misalignment error on a target is disclosed. For a predefined number of positions of a target within a field of view (FOV) of a metrology tool, the following operations are performed: (i) determining a tool-induced shift (TIS) parameter value for each predefined position of the target within the FOV based on at least one overlay measurement obtained from the target at the each position (for example, based on overlay measurements at 0 and 180 degrees of wafer orientation) and (ii) determining a minimum TIS parameter value and its corresponding FOV position from the plurality of determined TIS parameters values at the predefined positions of the target within the FOV. The FOV position that corresponds to the minimum TIS is then defined as an appropriate position for the actual overlay measurement and the value of minimum TIS is used for overlay correction.

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

80.

Stabilizing a substrate using a vacuum preload air bearing chuck

      
Numéro d'application 11688720
Numéro de brevet 07607647
Statut Délivré - en vigueur
Date de dépôt 2007-03-20
Date de la première publication 2008-09-25
Date d'octroi 2009-10-27
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Zhao, Guoheng
  • Belyaev, Alexander
  • Wolters, Christian H.
  • Doyle, Paul Andrew
  • Dando, Howard W.
  • Vaez-Iravani, Mehdi

Abrégé

Substrate processing method and apparatus are disclosed. The substrate processing apparatus includes a non-contact air bearing chuck with a vacuum preload.

Classes IPC  ?

  • B25B 11/00 - Porte-pièces ou dispositifs de mise en position non couverts par l'un des groupes , p.ex. porte-pièces magnétiques, porte-pièces utilisant le vide

81.

Inspection methods and systems for lithographic masks

      
Numéro d'application 11724905
Numéro de brevet 07564545
Statut Délivré - en vigueur
Date de dépôt 2007-03-15
Date de la première publication 2008-09-18
Date d'octroi 2009-07-21
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s) Stokowski, Stanley E.

Abrégé

Disclosed are apparatus and methods for finding lithographically significant defects on a reticle. In general, at least a pair of related intensity images of the reticle in question are obtained using an inspection apparatus. The intensity images are obtained such that each of the images experience different focus settings for the reticle so that there is a constant focus offset between the two focus values of the images. These images are then analyzed to obtain a transmission function of the reticle. This transmission function is then input into a model of the lithography system (e.g., a stepper, scanner, or other related photolithography system) to then produce an aerial image of the reticle pattern. The aerial image produced can then be input to a photoresist model to yield a “resist-modeled image” that corresponds to an image pattern to be printed onto the substrate using the reticle. This resist-modeled image can then be compared with a reference image to obtain defect information. In particular, due to the introduction of the lithography tool and photoresist model, this defect information pertains to lithographically significant defects.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

82.

Beam delivery system for laser dark-field illumination in a catadioptric optical system

      
Numéro d'application 12074405
Numéro de brevet 07817260
Statut Délivré - en vigueur
Date de dépôt 2008-03-03
Date de la première publication 2008-09-18
Date d'octroi 2010-10-19
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Chuang, Yung-Ho
  • Armstrong, J. Joseph

Abrégé

A method and apparatus for inspecting a specimen are provided. The apparatus comprises a primary illumination source, a catadioptric objective exhibiting central obscuration that directs light energy received from the primary illumination source at a substantially normal angle toward the specimen, and an optical device, such as a prism or reflective surface, positioned within the central obscuration resulting from the catadioptric objective for receiving further illumination from a secondary illumination source and diverting the further illumination to the specimen. The method comprises illuminating a surface of the specimen at a variety of angles using a primary illumination source, illuminating the surface using a secondary illumination source, the illuminating by the secondary illumination source occurring at a substantially normal angle of incidence; and imaging all reflected, scattered, and diffracted light energy received from the surface onto a detector.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

83.

Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods

      
Numéro d'application 11683696
Numéro de brevet 07925072
Statut Délivré - en vigueur
Date de dépôt 2007-03-08
Date de la première publication 2008-09-11
Date d'octroi 2011-04-12
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Chen, Chien-Huei (adam)
  • Gupta, Ajay
  • Wallingford, Richard
  • Namjoshi, Kaustubh (kaust)
  • Van Riet, Mike
  • Cook, Michael

Abrégé

Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods are provided. One method for identifying array areas in dies formed on a wafer includes comparing an array pattern in a template image acquired in one of the array areas to a search area image acquired for the wafer. The method also includes determining areas in the search area image in which a pattern is formed that substantially matches the array pattern in the template image based on results of the comparing step. In addition, the method includes identifying the array areas in the dies formed on the wafer based on results of the determining step.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

84.

Automated feature analysis with off-axis tilting

      
Numéro d'application 11360325
Numéro de brevet 07423269
Statut Délivré - en vigueur
Date de dépôt 2006-02-22
Date de la première publication 2008-09-09
Date d'octroi 2008-09-09
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Azordegan, Amir
  • Yang, Hedong
  • Qu, Gongyuan
  • Lorusso, Gian Francesco

Abrégé

One embodiment relates to a method of automated microalignment using off-axis beam tilting. Image data is collected from a region of interest on a substrate at multiple beam tilts. Potential edges of a feature to be identified in the region are determined, and computational analysis of edge-related data is performed to positively identify the feature(s). Another embodiment relates to a method of automated detection of undercut on a feature using off-axis beam tilting. For each beam tilt, a determination is made of difference data between the edge measurement of one side and the edge measurement of the other side. An undercut on the feature is detected from the difference data. Other embodiments are also disclosed.

Classes IPC  ?

85.

Gas purge system and methods

      
Numéro d'application 11457524
Numéro de brevet 07420681
Statut Délivré - en vigueur
Date de dépôt 2006-07-14
Date de la première publication 2008-09-02
Date d'octroi 2008-09-02
Propriétaire KLA Tencor Technologies Corporation (USA)
Inventeur(s)
  • Wang, Ning-Yi
  • Meng, Ching-Ling
  • Tang, Anthony

Abrégé

Gas purge systems and methods and a spectroscopic ellipsometer are disclosed. A purge gas system may include an input beam optics housing, a collection optics housing and a gas purge manifold. The input beam optics housing may include a first gas flow path between a first gas inlet and an aperture in a first nose cone proximate a measurement position. The collection optics housing may include a second gas flow path between a second gas inlet and an aperture in a second nose cone proximate the measurement position. The gas purge manifold may be disposed between the input beam optics housing and the collection optics housing. The gas purge manifold has a third gas flow path between a third gas inlet and an aperture in the gas manifold proximate the measurement position. The ellipsometer may include input beam optics in the input beam optics housing and collection optics in the collection optics housing. First, second, and third flows of purge gas may be supplied through the input beam optics housing, collection optics housing and gas purge manifold respectively. The purge gas is delivered directly to a measurement position of a surface of a substrate through the gas purge manifold, the first nosecone and the second nose cone.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01J 4/00 - Mesure de la polarisation de la lumière

86.

Methods for accurate identification of an edge of a care area for an array area formed on a wafer and methods for binning defects detected in an array area formed on a wafer

      
Numéro d'application 11680152
Numéro de brevet 07894659
Statut Délivré - en vigueur
Date de dépôt 2007-02-28
Date de la première publication 2008-08-28
Date d'octroi 2011-02-22
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Chen, Chien-Huei (adam)
  • Wang, Xiaoming
  • Shifrin, Eugene
  • Fang, Tsung-Pao

Abrégé

Methods for identifying an edge of a care area for an array area formed on a wafer and/or for binning defects detected in the array area are provided. One method for identifying an edge of a care area for an array area formed on a wafer includes determining a value for a difference image as a function of position from a position known to be inside the array area to a position known to be outside of the array area. The method also includes identifying the position that is located closest to the inside of the array area and that has the value greater than a threshold as a position of the edge of the care area.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

87.

Wafer inspection systems and methods for analyzing inspection data

      
Numéro d'application 11746884
Numéro de brevet 07417724
Statut Délivré - en vigueur
Date de dépôt 2007-05-10
Date de la première publication 2008-08-26
Date d'octroi 2008-08-26
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Sullivan, Paul
  • Kren, George
  • Rosengaus, Eliezer
  • Huet, Patrick
  • Piramuthu, Robinson
  • Plihal, Martin
  • Xiong, Yan

Abrégé

Wafer inspection systems and methods are provided. One inspection system includes a module measurement cell coupled to a host inspection system by a wafer handler. The module measurement cell is configured to inspect a wafer using one or more modes prior to inspection of the wafer by the host inspection system. The one or more modes include backside inspection, edge inspection, frontside macro defect inspection, or a combination thereof. Another inspection system includes two or more low resolution electronic sensors arranged at multiple viewing angles. The sensors are configured to detect light returned from a wafer substantially simultaneously. A method for analyzing inspection data includes selecting a template corresponding to a support device that contacts a backside of a wafer prior to inspection of the backside of the wafer. The method also includes subtracting data representing the template from inspection data generated by inspection of the backside of the wafer.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

88.

Registration target design for managing both reticle grid error and wafer overlay

      
Numéro d'application 11356878
Numéro de brevet 07408642
Statut Délivré - en vigueur
Date de dépôt 2006-02-17
Date de la première publication 2008-08-05
Date d'octroi 2008-08-05
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Dibiase, Tony

Abrégé

A combined overlay target and methods for its use are disclosed. The combined overlay target includes a grating-type overlay target and an image placement error target having substantially perpendicular features with spaced apart edges. The grating-type target and the image placement error target have a common centroid and are sufficiently separated that the grating-type overlay target does not interfere with measurement of image placement error.

Classes IPC  ?

  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

89.

Method and apparatus for aberration-insensitive electron beam imaging

      
Numéro d'application 11360930
Numéro de brevet 07405402
Statut Délivré - en vigueur
Date de dépôt 2006-02-22
Date de la première publication 2008-07-29
Date d'octroi 2008-07-29
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Vedula, Srinivas
  • Azordegan, Amir
  • Hordon, Laurence
  • Brodie, Alan D.
  • Lorusso, Gian Francesco
  • Tada, Takuji

Abrégé

One embodiment relates to an electron beam apparatus for automated imaging of a substrate surface. An electron source is configured to emit electrons, and a gun lens is configured to focus the electrons emitted by the electron source so as to form an electron beam. A condenser lens system is configured to receive the electron beam and to reduce its numerical aperture to an ultra-low numerical aperture. An objective lens is configured to focus the ultra-low numerical aperture beam onto the substrate surface. Other embodiments are also disclosed.

Classes IPC  ?

  • G01N 23/00 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou
  • G21K 7/00 - Microscopes à rayons gamma ou à rayons X
  • H01J 3/14 - Dispositifs pour la focalisation ou la réflexion du rayon ou du faisceau

90.

Electron beam lithography method and apparatus using a dynamically controlled photocathode

      
Numéro d'application 11686905
Numéro de brevet 07696498
Statut Délivré - en vigueur
Date de dépôt 2007-03-15
Date de la première publication 2008-07-17
Date d'octroi 2010-04-13
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Carroll, Allen M.

Abrégé

Embodiments of the invention include an electron beam lithography device using a dynamically controllable photocathode capable of producing a patterned electron beam. One such implementation includes a dynamic pattern generator configurable to produce an electron beam having a desired image pattern impressed thereon. Such an electron beam pattern being enabled by selectively activating programmable photoemissive elements of the pattern generator. The apparatus further including an illumination source arranged to direct a light beam onto the dynamic pattern generator to produce the electron beam having the desired pattern. The electron beam being directed through associated electron optics configured to receive the electron beam from the dynamic pattern generator and direct the electron beam onto a target substrate mounted on a stage.

Classes IPC  ?

  • G01J 3/10 - Aménagements de sources lumineuses spécialement adaptées à la spectrométrie ou à la colorimétrie

91.

PHOTOMASK INSPECTION AND VERIFICATION BY LITHOGRAPHY IMAGE RECONSTRUCTION USING IMAGING PUPIL FILTERS

      
Numéro d'application US2008050798
Numéro de publication 2008/086494
Statut Délivré - en vigueur
Date de dépôt 2008-01-10
Date de publication 2008-07-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Xiong, Yalin
  • Shi, Rui-Fang

Abrégé

A method and tool for generating reconstructed images that model the high NA effects of a lithography tool used to image patterns produced by a mask. Comparison of the reconstructed images with reference images characterize the mask. The method involves providing a mask reticle for inspection. Generating matrix values associated with a high NA corrective filter matrix that characterizes a high NA lithography system used to print from the mask. Illuminating the mask to produce a patterned illumination beam that is filtered with filters associated with the high NA corrective filter matrix elements to obtain a plurality of filtered beams that include raw image data that is processed to obtain a reconstructed image that is further processed and compared with reference images to obtain mask characterization information.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

92.

ELECTRON BEAM LITHOGRAPHY METHOD AND APPARATUS USING A DYNAMICALLY CONTROLLED PHOTOCATHODE

      
Numéro d'application US2008050913
Numéro de publication 2008/086527
Statut Délivré - en vigueur
Date de dépôt 2008-01-11
Date de publication 2008-07-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s) Carroll, Allen M.

Abrégé

Embodiments of the invention include an electron beam lithography device (200) using a dynamically controllable photocathode capable of producing a patterned electron beam (208). One such implementation includes a dynamic pattern generator ( 202) configurable to produce an electron beam (208) having a desired image pattern impressed thereon. Such an electron beam pattern being enabled by selectively activating programmable photoemissive elements of the pattern generator. The apparatus further including an illumination source. (201) arranged to direct a light beam onto the dynamic pattern generator (202) to produce the electron beam having the desired pattern. The electron beam being directed through associated electron optics (215) configured to receive the electron beam from the dynamic pattern generator and direct the electron beam onto a target substrate (205) mounted on a stage (206).

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • H01J 37/073 - Canons à électrons utilisant des sources d'électrons à émission par effet de champ, à photo-émission ou à émission secondaire
  • H01J 1/34 - Cathodes photo-émissives

93.

METHOD FOR DETECTING LITHOGRAPHICALLY SIGNIFICANT DEFECTS ON RETICLES

      
Numéro d'application US2008050914
Numéro de publication 2008/086528
Statut Délivré - en vigueur
Date de dépôt 2008-01-11
Date de publication 2008-07-17
Propriétaire KLA-TENCOR TECHNOLOGIES CORPORATION (USA)
Inventeur(s)
  • Wihl, Mark J.
  • Xiong, Yalin
  • Yiin, Lih-Huah

Abrégé

A method for identifying lithographically significant defects. A photomask is illuminated to produce images that experience different parameters of the reticle as imaged by an inspection tool. Example parameters include a transmission intensity image and a reflection intensity image. The images are processed together to recover a band limited mask pattern associated with the photomask. A model of an exposure lithography system for chip fabrication is adapted to accommodate the band limited mask pattern as an input which is input into the model to obtain an aerial image of the mask pattern that is processed with a photoresist model yielding a resist-modeled image. The resist-modeled image is used to determine if the photomask has lithographically significant defects.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation

94.

System and method for optimizing wafer flatness at high rotational speeds

      
Numéro d'application 10952590
Numéro de brevet 07396022
Statut Délivré - en vigueur
Date de dépôt 2004-09-28
Date de la première publication 2008-07-08
Date d'octroi 2008-07-08
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Moghadam, Alireza Shahdoost
  • Velidandla, Vamsi Mohan

Abrégé

The present invention is a chuck having a vacuum groove that is capable of holding a wafer as the chuck rotates on a spindle. As the chuck rotates the air pressure above the center of the wafer is reduced. In order to reduce the bowing of the wafer that can result from this low pressure area above the wafer, the present invention introduces venturi holes in the chuck which reduces the air pressure in the area below the wafer. In order to prevent the air pressure in the area below the wafer from decreasing too far, the present invention uses air inlet holes to balance the affect of the venturi holes in order to substantially balance the air pressure above and below the wafer which results in significantly less bowing of the wafer when compared to conventional systems. The present invention accomplishes this without requiring sensors or other active measuring devices to help reduce the bowing of the wafer.

Classes IPC  ?

  • B23B 31/30 - Mandrins de serrage caractérisés par le système de commande à distance des moyens de serrage utilisant des moyens hydrauliques ou pneumatiques dans le mandrin

95.

Surface scanning

      
Numéro d'application 11257234
Numéro de brevet 07397553
Statut Délivré - en vigueur
Date de dépôt 2005-10-24
Date de la première publication 2008-07-08
Date d'octroi 2008-07-08
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Mehanian, Courosh
  • Meeks, Steven W.
  • Rosengaus, Eliezer

Abrégé

In one embodiment, a surface scanning system comprises a radiation directing assembly that scans a surface using a Cartesian scanning pattern; and a radiation collecting assembly that collects radiation reflected from the surface. A scattered radiation collection system is included that measures the scattered light from the surface.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01J 4/00 - Mesure de la polarisation de la lumière

96.

Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems

      
Numéro d'application 11185915
Numéro de brevet 07394067
Statut Délivré - en vigueur
Date de dépôt 2005-07-20
Date de la première publication 2008-07-01
Date d'octroi 2008-07-01
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Soltz, David
  • Wieczorek, Paul
  • Zuo, Aaron
  • Toth, Gabor

Abrégé

Systems and methods for reducing alteration of a specimen during by charged particle based and other measurements systems are provided. One system configured to reduce alteration of a specimen during analysis includes a vacuum chamber in which the specimen is disposed during the analysis and an element disposed within the vacuum chamber. A surface of the element is cooled such that molecules in the vacuum chamber are adsorbed onto the surface and cannot cause alteration of a characteristic of the specimen during the analysis. One system configured to analyze a specimen includes an analysis subsystem configured to analyze the specimen while the specimen is disposed in a vacuum chamber and an element disposed within the vacuum chamber. A surface of the element is cooled such that molecules in the vacuum chamber are adsorbed onto the surface and cannot cause alteration of a characteristic of the specimen during the analysis.

Classes IPC  ?

  • G01B 15/04 - Dispositions pour la mesure caractérisées par l'utilisation d'ondes électromagnétiques ou de radiations de particules, p.ex. par l'utilisation de micro-ondes, de rayons X, de rayons gamma ou d'électrons pour mesurer des contours ou des courbes

97.

Large-field scanning of charged particles

      
Numéro d'application 11280829
Numéro de brevet 07394069
Statut Délivré - en vigueur
Date de dépôt 2005-11-15
Date de la première publication 2008-07-01
Date d'octroi 2008-07-01
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Bertsche, Kirk J.

Abrégé

One embodiment relates to a charged-particle beam apparatus. The apparatus includes at least a source for generating the charged-particle beam, a first deflector, and a second deflector. The first deflector is configured to scan the charged-particle beam in a first dimension. The second deflector is configured to deflect the scanned beam such that the scanned beam impinges telecentrically (perpendicularly) upon a surface of a target substrate. Other embodiments are also disclosed.

Classes IPC  ?

  • G21K 1/00 - Dispositions pour manipuler des particules ou des rayonnements ionisants, p.ex. pour focaliser ou pour modérer

98.

Skew-oriented multiple electron beam apparatus and method

      
Numéro d'application 11257304
Numéro de brevet 07391033
Statut Délivré - en vigueur
Date de dépôt 2005-10-24
Date de la première publication 2008-06-24
Date d'octroi 2008-06-24
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s) Adler, David L

Abrégé

One embodiment described relates to a multiple electron beam apparatus. Multiple columns are arranged in a row configured to generate multiple electron beams. A mechanism is included for translating a substrate so as to be impinged upon by the multiple electron beams. A direction of the substrate translation and a direction of the row of columns are at a skew angle.

Classes IPC  ?

  • G01K 1/08 - Dispositifs de protection, p.ex. étuis

99.

Substrate processing apparatus and method

      
Numéro d'application 11670896
Numéro de brevet 07633070
Statut Délivré - en vigueur
Date de dépôt 2007-02-02
Date de la première publication 2008-06-19
Date d'octroi 2009-12-15
Propriétaire KLA-Tencor Technologies Corporation (USA)
Inventeur(s)
  • Zywno, Marek
  • Bareket, Noah

Abrégé

A substrate processing apparatus and method are disclosed.

Classes IPC  ?

100.

Methods and systems for identifying defect types on a wafer

      
Numéro d'application 11949473
Numéro de brevet 07728969
Statut Délivré - en vigueur
Date de dépôt 2007-12-03
Date de la première publication 2008-06-05
Date d'octroi 2010-06-01
Propriétaire KLA-Tencor Technologies Corp. (USA)
Inventeur(s)
  • Saito, Jason
  • Shen, Wei-Ning

Abrégé

Various methods and systems for identifying defect types on a wafer are provided. One computer-implemented method for identifying defect types on a wafer includes acquiring output of an inspection system for defects detected on a wafer. The output is acquired by different combinations of illumination and collection channels of the inspection system. The method also includes identifying defect types of the defects based on the output acquired by a set of the different combinations. The set of the different combinations is selected based on the defect types to be identified on the wafer and a wafer type of the wafer such that a different set of the different combinations of the illumination and collection channels is used for identifying different defect types on different wafer types.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  1     2     3     4        Prochaine page