KLA-Tencor Corporation

United States of America

Back to Profile

1-100 of 1,456 for KLA-Tencor Corporation Sort by
Query
Patent
United States - USPTO
Excluding Subsidiaries
Aggregations Reset Report
Date
2023 2
2022 6
2021 15
2020 47
2019 145
See more
IPC Class
G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined 352
G03F 7/20 - Exposure; Apparatus therefor 275
G06T 7/00 - Image analysis 224
G01N 21/88 - Investigating the presence of flaws, defects or contamination 202
G01N 21/956 - Inspecting patterns on the surface of objects 197
See more
Status
Pending 9
Registered / In Force 1,447
Found results for  patents
  1     2     3     ...     15        Next Page

1.

REDUCTION OR ELIMINATION OF PATTERN PLACEMENT ERROR IN METROLOGY MEASUREMENTS

      
Application Number 18076375
Status Pending
Filing Date 2022-12-06
First Publication Date 2023-03-30
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Feler, Yoel
  • Levinski, Vladimir
  • Gronheid, Roel
  • Aharon, Sharon
  • Gurevich, Evgeni
  • Golotsvan, Anna
  • Ghinovker, Mark

Abstract

Metrology methods and targets are provided for reducing or eliminating a difference between a device pattern position and a target pattern position while maintaining target printability, process compatibility and optical contrast—in both imaging and scatterometry metrology. Pattern placement discrepancies may be reduced by using sub-resolved assist features in the mask design which have a same periodicity (fine pitch) as the periodic structure and/or by calibrating the measurement results using PPE (pattern placement error) correction factors derived by applying learning procedures to specific calibration terms, in measurements and/or simulations. Metrology targets are disclosed with multiple periodic structures at the same layer (in addition to regular target structures), e.g., in one or two layers, which are used to calibrate and remove PPE, especially when related to asymmetric effects such as scanner aberrations, off-axis illumination and other error sources.

IPC Classes  ?

  • G03F 1/84 - Inspecting
  • G03F 1/26 - Phase shift masks [PSM]; PSM blanks; Preparation thereof
  • G03F 7/20 - Exposure; Apparatus therefor
  • G06F 30/39 - Circuit design at the physical level
  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales 
  • G01N 21/00 - Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light

2.

3D Microscope Including Insertable Components To Provide Multiple Imaging And Measurement Capabilities

      
Application Number 18070389
Status Pending
Filing Date 2022-11-28
First Publication Date 2023-03-23
Owner KLA-Tencor Corporation (USA)
Inventor
  • Xu, James Jianguo
  • Lee, Ken Kinsun
  • Kudinar, Rusmin
  • Soetarman, Ronny
  • Nguyen, Hung Phi
  • Hou, Zhen

Abstract

A three-dimensional (3D) microscope includes various insertable components that facilitate multiple imaging and measurement capabilities. These capabilities include Nomarski imaging, polarized light imaging, quantitative differential interference contrast (q-DIC) imaging, motorized polarized light imaging, phase-shifting interferometry (PSI), and vertical-scanning interferometry (VSI).

IPC Classes  ?

  • G02B 21/06 - Means for illuminating specimen
  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes arranged for photographic purposes or projection purposes

3.

METHOD FOR MEASURING AND CORRECTING MISREGISTRATION BETWEEN LAYERS IN A SEMICONDUCTOR DEVICE, AND MISREGISTRATION TARGETS USEFUL THEREIN

      
Application Number 17688856
Status Pending
Filing Date 2022-03-07
First Publication Date 2022-06-23
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Volkovich, Roie
  • Milo, Renan
  • Yerushalmi, Liran
  • Zaberchik, Moran
  • Feler, Yoel
  • Izraeli, David

Abstract

A method for measurement of misregistration in the manufacture of semiconductor device wafers, the method including measuring misregistration between layers of a semiconductor device wafer at a first instance and providing a first misregistration indication, measuring misregistration between layers of a semiconductor device wafer at a second instance and providing a second misregistration indication, providing a misregistration measurement difference output in response to a difference between the first misregistration indication and the second misregistration indication, providing a baseline difference output and ameliorating the difference between the misregistration measurement difference output and the baseline difference output.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

4.

Device-like metrology targets

      
Application Number 17689934
Grant Number 11709433
Status In Force
Filing Date 2022-03-08
First Publication Date 2022-06-23
Grant Date 2023-07-25
Owner KLA-Tencor Corporation (USA)
Inventor
  • Levinski, Vladimir
  • Manassen, Amnon
  • Amit, Eran
  • Amir, Nuriel
  • Yerushalmi, Liran
  • Shaked, Amit

Abstract

Metrology targets, production processes and optical systems are provided, which enable metrology of device-like targets. Supplementary structure(s) may be introduced in the target to interact optically with the bottom layer and/or with the top layer of the target and target cells configurations enable deriving measurements of device-characteristic features. For example, supplementary structure(s) may be designed to yield Moiré patterns with one or both layers, and metrology parameters may be derived from these patterns. Device production processes were adapted to enable production of corresponding targets, which may be measured by standard or by provided modified optical systems, configured to enable phase measurements of the Moiré patterns.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

5.

Process control metrology

      
Application Number 15587297
Grant Number 11313809
Status In Force
Filing Date 2017-05-04
First Publication Date 2022-04-26
Grant Date 2022-04-26
Owner KLA-Tencor Corporation (USA)
Inventor
  • Pandev, Stilian Ivanov
  • Lu, Wei

Abstract

Methods and systems for estimating values of process parameters based on measurements of structures fabricated on a product wafer are presented herein. Exemplary process parameters include lithography dosage and exposure and lithography scanner aberrations. A measurement model is employed to estimate process parameter values from measurements of structures fabricated on a wafer by a particular fabrication process. The measurement model includes process parameters and geometric parameters of structures under measurement. In some embodiments, a model based regression of both a process model and a metrology model is employed to arrive at estimates of at least one process parameter value based on measurements of a fabricated structure. In some embodiments, a trained measurement model is employed to directly estimate process parameter values based on measurements of structures. The measurement model is trained based on simulated measurement signals associated with measurements of shape profiles generated by different sets of process parameter values.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

6.

Machine Learning in Metrology Measurements

      
Application Number 17554454
Status Pending
Filing Date 2021-12-17
First Publication Date 2022-04-07
Owner KLA-TENCOR CORPORATION (USA)
Inventor Amit, Eran

Abstract

Metrology methods and targets are provided, that expand metrological procedures beyond current technologies into multi-layered targets, quasi-periodic targets and device-like targets, without having to introduce offsets along the critical direction of the device design. Machine learning algorithm application to measurements and/or simulations of metrology measurements of metrology targets are disclosed for deriving metrology data such as overlays from multi-layered target and corresponding configurations of targets are provided to enable such measurements. Quasi-periodic targets which are based on device patterns are shown to improve the similarity between target and device designs. Offsets are introduced only in non-critical direction and/or sensitivity is calibrated to enable, together with the solutions for multi-layer measurements and quasi-periodic target measurements, direct device optical metrology measurements.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G03F 7/20 - Exposure; Apparatus therefor
  • G06N 99/00 - Subject matter not provided for in other groups of this subclass

7.

INSPECTION OF RETICLES USING MACHINE LEARNING

      
Application Number 17456415
Status Pending
Filing Date 2021-11-24
First Publication Date 2022-03-17
Owner KLA-Tencor Corporation (USA)
Inventor
  • Fang, Hawren
  • Sezginer, Abdurrahman
  • Shi, Rui-Fang

Abstract

Disclosed are methods and apparatus for inspecting a photolithographic reticle. A plurality of reference far field images are simulated by inputting a plurality of reference near field images into a physics-based model, and the plurality of reference near field images are generated by a trained deep learning model from a test portion of the design database that was used to fabricate a test area of a test reticle. The test area of a test reticle, which was fabricated from the design database, is inspected for defects via a die-to-database process that includes comparing the plurality of reference far field reticle images simulated by the physic-based model to a plurality of test images acquired by the inspection system from the test area of the test reticle.

IPC Classes  ?

8.

Cross layer common-unique analysis for nuisance filtering

      
Application Number 17486213
Grant Number 11694327
Status In Force
Filing Date 2021-09-27
First Publication Date 2022-01-13
Grant Date 2023-07-04
Owner KLA-TENCOR CORPORATION (USA)
Inventor Brauer, Bjorn

Abstract

Common events between layers on a semiconductor wafer are filtered. Common events should contain the majority of defects of interest. Only nuisance events that are common between layers on the semiconductor wafer remain, which reduces the nuisance rate. Defects that are common across layers can be filtered based on, for example, defect coordinates, a difference image, or defect attributes.

IPC Classes  ?

9.

Process monitoring of deep structures with X-ray scatterometry

      
Application Number 17468436
Grant Number 11955391
Status In Force
Filing Date 2021-09-07
First Publication Date 2021-12-30
Grant Date 2024-04-09
Owner KLA-Tencor Corporation (USA)
Inventor
  • Gellineau, Antonio Arion
  • Dziura, Thaddeus Gerard

Abstract

Methods and systems for estimating values of process parameters, structural parameters, or both, based on x-ray scatterometry measurements of high aspect ratio semiconductor structures are presented herein. X-ray scatterometry measurements are performed at one or more steps of a fabrication process flow. The measurements are performed quickly and with sufficient accuracy to enable yield improvement of an on-going semiconductor fabrication process flow. Process corrections are determined based on the measured values of parameters of interest and the corrections are communicated to the process tool to change one or more process control parameters of the process tool. In some examples, measurements are performed while the wafer is being processed to control the on-going fabrication process step. In some examples, X-ray scatterometry measurements are performed after a particular process step and process control parameters are updated for processing of future devices.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 23/20 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by using reflection of the radiation by the materials
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

10.

Topographic Phase Control For Overlay Measurement

      
Application Number 17241006
Status Pending
Filing Date 2021-04-26
First Publication Date 2021-08-19
Owner KLA-Tencor Corporation (USA)
Inventor
  • Levinski, Vladimir
  • Paskover, Yuri
  • Manassen, Amnon
  • Shalibo, Yoni

Abstract

Metrology tools and methods are provided, which estimate the effect of topographic phases corresponding to different diffraction orders, which result from light scattering on periodic targets, and adjust the measurement conditions to improve measurement accuracy. In imaging, overlay error magnification may be reduced by choosing appropriate measurement conditions based on analysis of contrast function behavior, changing illumination conditions (reducing spectrum width and illumination NA), using polarizing targets and/or optical systems, using multiple defocusing positions etc. On-the-fly calibration of measurement results may be carried out in imaging or scatterometry using additional measurements or additional target cells.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H04N 5/232 - Devices for controlling television cameras, e.g. remote control
  • G06T 7/80 - Analysis of captured images to determine intrinsic or extrinsic camera parameters, i.e. camera calibration
  • G02B 7/38 - Systems for automatic generation of focusing signals using image sharpness techniques measured at different points on the optical axis
  • G02B 27/32 - Fiducial marks or measuring scales within the optical system

11.

Vacuum hold-down apparatus for flattening bowed semiconductor wafers

      
Application Number 16340634
Grant Number 11430687
Status In Force
Filing Date 2019-03-25
First Publication Date 2021-07-15
Grant Date 2022-08-30
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Hildesheim, Ariel
  • Angel, Ofer

Abstract

A vacuum hold-down apparatus retains a wafer in a desired position and orientation. A vacuum chuck assembly of the vacuum hold-down apparatus has a vacuum chuck surface with a vacuum communication aperture. A venturi vacuum generator is fixed with respect to the vacuum chuck assembly and communicates with the vacuum chuck surface via the vacuum communication aperture. A positive pressure fluid line communicates with the venturi vacuum generator.

IPC Classes  ?

  • B25B 11/00 - Work holders or positioners not covered by groups , e.g. magnetic work holders, vacuum work holders
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

12.

Accuracy improvements in optical metrology

      
Application Number 17179379
Grant Number 11862522
Status In Force
Filing Date 2021-02-18
First Publication Date 2021-06-10
Grant Date 2024-01-02
Owner KLA-Tencor Corporation (USA)
Inventor
  • Bringoltz, Barak
  • Gurevich, Evgeni
  • Adam, Ido
  • Feler, Yoel
  • Alumot, Dror
  • Lamhot, Yuval
  • Sella, Noga
  • De Leeuw, Yaron
  • Yaziv, Tal
  • Ashwal-Island, Eltsafon
  • Saltoun, Lilach
  • Leviant, Tom

Abstract

Methods, metrology modules and target designs are provided, which improve the accuracy of metrology measurements. Methods provide flexible handling of multiple measurement recipes and setups and enable relating them to landscape features that indicate their relation to resonance regions and to flat regions. Clustering of recipes, self-consistency tests, common processing of aggregated measurements, noise reduction, cluster analysis, detailed analysis of the landscape and targets with skewed cells are employed separately or in combination to provide cumulative improvements of measurement accuracy.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

13.

Measurement of overlay error using device inspection system

      
Application Number 17163904
Grant Number 11784097
Status In Force
Filing Date 2021-02-01
First Publication Date 2021-05-27
Grant Date 2023-10-10
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Hoo, Choon Hoong
  • Ji, Fangren
  • Manassen, Amnon
  • Yerushalmi, Liran
  • Mani, Antonio
  • Park, Allen
  • Pandev, Stilian
  • Shchegrov, Andrei
  • Madsen, Jon

Abstract

A method and system for measuring overlay in a semiconductor manufacturing process comprise capturing an image of a feature in an article at a predetermined manufacturing stage, deriving a quantity of an image parameter from the image and converting the quantity into an overlay measurement. The conversion is by reference to an image parameter quantity derived from a reference image of a feature at the same predetermined manufacturing stage with known overlay (“OVL”). There is also disclosed a method of determining a device inspection recipe for use by an inspection tool comprising identifying device patterns as candidate device care areas that may be sensitive to OVL, deriving an OVL response for each identified pattern, correlating the OVL response with measured OVL, and selecting some or all of the device patterns as device care areas based on the correlation.

IPC Classes  ?

  • H01L 21/00 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06T 7/00 - Image analysis
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06F 30/39 - Circuit design at the physical level
  • G06F 119/18 - Manufacturability analysis or optimisation for manufacturability

14.

Method of measuring misregistration of semiconductor devices

      
Application Number 17161035
Grant Number 11226566
Status In Force
Filing Date 2021-01-28
First Publication Date 2021-05-20
Grant Date 2022-01-18
Owner KLA-Tencor Corporation (USA)
Inventor
  • Volkovich, Roie
  • Dolev, Ido

Abstract

A method of measuring misregistration in the manufacture of semiconductor devices including providing a multilayered semiconductor device, using a scatterometry metrology tool to perform misregistration measurements at multiple sites on the multilayered semiconductor device, receiving raw misregistration data for each of the misregistration measurements, thereafter providing filtered misregistration data by removing outlying raw misregistration data points from the raw misregistration data for each of the misregistration measurements, using the filtered misregistration data to model misregistration for the multilayered semiconductor device, calculating correctables from the modeled misregistration for the multilayered semiconductor device, providing the correctables to the scatterometry metrology tool, thereafter recalibrating the scatterometry metrology tool based on the correctables and measuring misregistration using the scatterometry metrology tool following the recalibration.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns

15.

Reduction or elimination of pattern placement error in metrology measurements

      
Application Number 17161645
Grant Number 11537043
Status In Force
Filing Date 2021-01-28
First Publication Date 2021-05-20
Grant Date 2022-12-27
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Feler, Yoel
  • Levinski, Vladimir
  • Gronheid, Roel
  • Aharon, Sharon
  • Gurevich, Evgeni
  • Golotsvan, Anna
  • Ghinovker, Mark

Abstract

Metrology methods and targets are provided for reducing or eliminating a difference between a device pattern position and a target pattern position while maintaining target printability, process compatibility and optical contrast—in both imaging and scatterometry metrology. Pattern placement discrepancies may be reduced by using sub-resolved assist features in the mask design which have a same periodicity (fine pitch) as the periodic structure and/or by calibrating the measurement results using PPE (pattern placement error) correction factors derived by applying learning procedures to specific calibration terms, in measurements and/or simulations. Metrology targets are disclosed with multiple periodic structures at the same layer (in addition to regular target structures), e.g., in one or two layers, which are used to calibrate and remove PPE, especially when related to asymmetric effects such as scanner aberrations, off-axis illumination and other error sources.

IPC Classes  ?

  • G03F 1/84 - Inspecting
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/00 - Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales 
  • G06F 30/39 - Circuit design at the physical level
  • G03F 1/26 - Phase shift masks [PSM]; PSM blanks; Preparation thereof

16.

Reflective pupil relay system

      
Application Number 14504234
Grant Number 10976249
Status In Force
Filing Date 2014-10-01
First Publication Date 2021-04-13
Grant Date 2021-04-13
Owner KLA-Tencor Corporation (USA)
Inventor
  • Hill, Andrew
  • Brady, Gregory

Abstract

Methods and systems for relaying an optical image using a cascade arrangement of tilted, concave mirrors are presented. An exemplary optical relay system includes a cascade arrangement of four mirrors each having concave, spherical surface figures. The first and third mirrors are configured to focus collimated wavefronts and the second and fourth mirrors re-collimate diverging wavefronts reflected from the first and third mirrors. Each mirror is tilted such that wavefronts located in the local field plane and local pupil plane of each mirror are physically separated. The magnitude and direction of each tilt angle are arranged such that off-axis aberrations introduced by each individual mirrors are largely compensated by the other mirrors. Such an optical relay system is employed to relay images of the pupil plane of a metrology system that is configured to perform accurate measurements of semiconductor structures and materials over a broad range of illumination wavelengths.

IPC Classes  ?

17.

Transmission small-angle X-ray scattering metrology system

      
Application Number 17002614
Grant Number 11519719
Status In Force
Filing Date 2020-08-25
First Publication Date 2021-03-25
Grant Date 2022-12-06
Owner KLA-Tencor Corporation (USA)
Inventor
  • Shchegrov, Andrei V.
  • Gellineau, Antonio Arion
  • Zalubovsky, Sergey

Abstract

Methods and systems for characterizing dimensions and material properties of semiconductor devices by transmission small angle x-ray scatterometry (TSAXS) systems having relatively small tool footprint are described herein. The methods and systems described herein enable Q space resolution adequate for metrology of semiconductor structures with reduced optical path length. In general, the x-ray beam is focused closer to the wafer surface for relatively small targets and closer to the detector for relatively large targets. In some embodiments, a high resolution detector with small point spread function (PSF) is employed to mitigate detector PSF limits on achievable Q resolution. In some embodiments, the detector locates an incident photon with sub-pixel accuracy by determining the centroid of a cloud of electrons stimulated by the photon conversion event. In some embodiments, the detector resolves one or more x-ray photon energies in addition to location of incidence.

IPC Classes  ?

  • G01N 23/201 - Measuring small-angle scattering, e.g. small angle X-ray scattering [SAXS]
  • G01B 11/14 - Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01B 11/26 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • G01N 21/21 - Polarisation-affecting properties
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01B 15/00 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
  • G01N 23/20 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by using reflection of the radiation by the materials

18.

3D microscope including insertable components to provide multiple imaging and measurement capabilities

      
Application Number 17109528
Grant Number 11536940
Status In Force
Filing Date 2020-12-02
First Publication Date 2021-03-25
Grant Date 2022-12-27
Owner KLA-Tencor Corporation (USA)
Inventor
  • Xu, James Jianguo
  • Lee, Ken Kinsun
  • Kudinar, Rusmin
  • Soetarman, Ronny
  • Nguyen, Hung Phi
  • Hou, Zhen

Abstract

A three-dimensional (3D) microscope includes various insertable components that facilitate multiple imaging and measurement capabilities. These capabilities include Nomarski imaging, polarized light imaging, quantitative differential interference contrast (q-DIC) imaging, motorized polarized light imaging, phase-shifting interferometry (PSI), and vertical-scanning interferometry (VSI).

IPC Classes  ?

  • H04N 7/18 - Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
  • G02B 21/06 - Means for illuminating specimen
  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes arranged for photographic purposes or projection purposes

19.

Phase filter for enhanced defect detection in multilayer structure

      
Application Number 16802542
Grant Number 10957568
Status In Force
Filing Date 2020-02-26
First Publication Date 2021-03-23
Grant Date 2021-03-23
Owner KLA-Tencor Corporation (USA)
Inventor
  • Danen, Robert M.
  • Starodub, Dmitri G

Abstract

Disclosed are methods and apparatus for facilitating defect detection in a multilayer stack. The method includes selection of a set of structure parameters for modeling a particular multilayer stack and a particular defect contained within such particular multilayer stack and a set of operating parameters for an optical inspection system. Based on the set of structure and operating parameters, an electromagnetic simulation is performed of waves scattered from the particular multilayer stack and defect and arriving at a collection pupil of the optical inspection system. Based on the simulated waves at the collection pupil, a design of a phase filter having a plurality of positions for changing a plurality of phases within a plurality of corresponding positions of the collection pupil of the optical inspection tool is determined so as to compensate for an adverse effect of the particular multilayer stack on obtaining a defect signal for the defect within such particular multilayer stack and/or to enhance such defect signal. The design of the phase filter is then provided for fabrication or configuration of a phase filter inserted within the optical inspection system for detection of defects in multilayer stacks with the same structure parameters as the particular multilayer stack. Methods and systems for inspecting a multilayer stack for defects are also disclosed.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06F 30/23 - Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G06F 111/10 - Numerical modelling
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 27/11582 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
  • H01L 27/11556 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

20.

3D microscope including insertable components to provide multiple imaging and measurement capabilities

      
Application Number 17109480
Grant Number 11294161
Status In Force
Filing Date 2020-12-02
First Publication Date 2021-03-18
Grant Date 2022-04-05
Owner KLA-Tencor Corporation (USA)
Inventor
  • Xu, James Jianguo
  • Lee, Ken Kinsun
  • Kudinar, Rusmin
  • Soetarman, Ronny
  • Nguyen, Hung Phi
  • Hou, Zhen

Abstract

A three-dimensional (3D) microscope includes various insertable components that facilitate multiple imaging and measurement capabilities. These capabilities include Nomarski imaging, polarized light imaging, quantitative differential interference contrast (q-DIC) imaging, motorized polarized light imaging, phase-shifting interferometry (PSI), and vertical-scanning interferometry (VSI).

IPC Classes  ?

  • H04N 7/18 - Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
  • G02B 21/06 - Means for illuminating specimen
  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes arranged for photographic purposes or projection purposes

21.

Optical profilometer with color outputs

      
Application Number 16027317
Grant Number 10948284
Status In Force
Filing Date 2018-07-04
First Publication Date 2021-03-16
Grant Date 2021-03-16
Owner KLA-TENCOR CORPORATION (USA)
Inventor Chalmers, Scott A.

Abstract

A system includes a light source configured to selectively output light. An optical objective is configured to couple the output light from the light source to a sample under measurement when present, and direct reflected light from the sample. A controller is configured to automatically control a color of the output light and a vertical position of the optical objective relative to the sample. The color of the light is selected from multiple colors. The vertical position includes a range of vertical positions scanned by the objective. A detector is configured to receive the reflected light and to detect focus, and output data representing a surface profile of the sample. The output data includes color images of the surface profile.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 9/02 - Interferometers
  • G02B 5/20 - Filters

22.

Creating and tuning a classifier to capture more defects of interest during inspection

      
Application Number 16188674
Grant Number 10902579
Status In Force
Filing Date 2018-11-13
First Publication Date 2021-01-26
Grant Date 2021-01-26
Owner KLA-Tencor Corporation (USA)
Inventor
  • Soltanmohammadi, Erfan
  • Plihal, Martin
  • Ng, Tai-Kam
  • Lee, Sang Hyun

Abstract

Defects of interest can be captured by a classifier. Images of a semiconductor wafer can be received at a deep learning classification module. These images can be sorted into soft decisions with the deep learning classification module. A class of the defect of interest for an image can be determined from the soft decisions. The deep learning classification module can be in electronic communication with an optical inspection system or other types of semiconductor inspection systems.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06N 3/08 - Learning methods
  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G06K 9/62 - Methods or arrangements for recognition using electronic means

23.

Data-driven misregistration parameter configuration and measurement system and method

      
Application Number 16619847
Grant Number 11353493
Status In Force
Filing Date 2019-07-10
First Publication Date 2021-01-14
Grant Date 2022-06-07
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Katz, Shlomit
  • Volkovich, Roie
  • Golotsvan, Anna
  • Yohanan, Raviv

Abstract

A data-driven misregistration parameter configuration and measurement system and method including simulating a plurality of measurement simulations of at least one multilayered semiconductor device, selected from a batch of multilayered semiconductor devices intended to be identical, using sets of measurement parameter configurations, generating simulation data for the device, identifying recommended measurement parameter configurations selected from sets of measurement parameter configurations, providing a multilayered semiconductor device selected from the batch, providing the at least one recommended set of measurement parameter configurations to a misregistration metrology tool having multiple possible sets of measurement parameter configurations, measuring at least one multilayered semiconductor device, selected from the batch, using the recommended set, thereby generating measurement data for the device, thereafter identifying a final recommended set of measurement parameter configurations and measuring misregistration of at least one multilayered semiconductor device, selected from the batch, using the final recommended set.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01R 31/26 - Testing of individual semiconductor devices
  • G05B 17/02 - Systems involving the use of models or simulators of said systems electric
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

24.

Expediting spectral measurement in semiconductor device fabrication

      
Application Number 16934123
Grant Number 11237120
Status In Force
Filing Date 2020-07-21
First Publication Date 2020-12-17
Grant Date 2022-02-01
Owner KLA-Tencor Corporation (USA)
Inventor
  • Immer, Vincent
  • Marciano, Tal
  • Lavert, Etay

Abstract

A device and method for expediting spectral measurement in metrological activities during semiconductor device fabrication through interferometric spectroscopy of white light illumination during calibration, overlay, and recipe creation.

IPC Classes  ?

  • G01N 21/45 - Refractivity; Phase-affecting properties, e.g. optical path length using Schlieren methods
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/55 - Specular reflectivity
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/31 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
  • G02B 21/00 - Microscopes
  • G02B 21/08 - Condensers
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • G01J 3/453 - Interferometric spectrometry by correlation of the amplitudes
  • G02B 21/06 - Means for illuminating specimen
  • G02B 21/18 - Arrangements with more than one light-path, e.g. for comparing two specimens
  • G02B 21/36 - Microscopes arranged for photographic purposes or projection purposes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

25.

Overlay measurement using multiple wavelengths

      
Application Number 16092559
Grant Number 11158548
Status In Force
Filing Date 2018-09-03
First Publication Date 2020-12-03
Grant Date 2021-10-26
Owner KLA-Tencor Corporation (USA)
Inventor
  • Lamhot, Yuval
  • Amit, Eran
  • Peled, Einat
  • Sella, Noga
  • Cheng, Wei-Te
  • Adam, Ido

Abstract

A method of determining overlay (“OVL”) in a pattern in a semiconductor wafer manufacturing process comprises capturing images from a cell in a metrology target formed in at least two different layers in the wafer with parts of the target offset in opposing directions with respect to corresponding parts in a different layer. The images may be captured using radiation of multiple different wavelengths, each image including +1 and −1 diffraction patterns. A first and second differential signal may be determined for respective pixels in each image by subtracting opposing pixels from the +1 and −1 diffraction orders for each of the multiple wavelengths. An OVL for the respective pixels may be determined based on analyzing the differential signals from multiple wavelengths simultaneously. Then an OVL for the pattern may be determined as a weighted average of the OVL of the respective pixels.

IPC Classes  ?

  • H01L 21/00 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/31 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

26.

Simultaneous multi-directional laser wafer inspection

      
Application Number 16946742
Grant Number 11366069
Status In Force
Filing Date 2020-07-02
First Publication Date 2020-10-22
Grant Date 2022-06-21
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Zhao, Guoheng
  • Liu, Sheng
  • Tsai, Ben-Ming Benjamin

Abstract

Disclosed is apparatus for inspecting a sample. The apparatus includes illumination optics for simultaneously directing a plurality of incident beams at a plurality of azimuth angles towards a sample and collection optics for directing a plurality of field portions of output light from two or more of the plurality of angles towards two or more corresponding sensors. The two or more sensors are arranged for receiving the field portions corresponding to two or more angles and generating two or more corresponding images. The apparatus further comprises a processor for analyzing the two or more images to detect defects on the sample.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 7/00 - Image analysis
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H04N 5/225 - Television cameras
  • H04N 5/232 - Devices for controlling television cameras, e.g. remote control
  • H04N 5/235 - Circuitry for compensating for variation in the brightness of the object

27.

Method for measuring and correcting misregistration between layers in a semiconductor device, and misregistration targets useful therein

      
Application Number 16467968
Grant Number 11302544
Status In Force
Filing Date 2019-05-06
First Publication Date 2020-10-01
Grant Date 2022-04-12
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Volkovich, Roie
  • Milo, Renan
  • Yerushalmi, Liran
  • Zaberchik, Moran
  • Feler, Yoel
  • Izraeli, David

Abstract

A method for measurement of misregistration in the manufacture of semiconductor device wafers, the method including measuring misregistration between layers of a semiconductor device wafer at a first instance and providing a first misregistration indication, measuring misregistration between layers of a semiconductor device wafer at a second instance and providing a second misregistration indication, providing a misregistration measurement difference output in response to a difference between the first misregistration indication and the second misregistration indication, providing a baseline difference output and ameliorating the difference between the misregistration measurement difference output and the baseline difference output.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

28.

Die screening using inline defect information

      
Application Number 16439465
Grant Number 10930597
Status In Force
Filing Date 2019-06-12
First Publication Date 2020-10-01
Grant Date 2021-02-23
Owner KLA-Tencor Corporation (USA)
Inventor
  • Lim, Alex Teng Song
  • Meenakshisundaram, Ganesh

Abstract

Embodiments herein include methods, systems, and apparatuses for die screening using inline defect information. Such embodiments may include receiving a plurality of defects, receiving wafersort electrical data for a plurality of dies, classifying each of the defects as a defect-of-interest or nuisance, determining a defect-of-interest confidence for each of the defects-of-interest, determining a die return index for each of the dies containing at least one of the defects-of-interest, determining a die return index cutline, and generating an inking map. Each of the defects may be associated with a die in the plurality of dies. Each of the dies may be tagged as passing a wafersort electrical test or failing the wafersort electrical test. Classifying each of the defects as a defect-of-interest or nuisance may be accomplished using a defect classification model, which may include machine learning. The inking map may be electronically communicated to an inking system.

IPC Classes  ?

  • G06K 9/00 - Methods or arrangements for reading or recognising printed or written characters or for recognising patterns, e.g. fingerprints
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06T 7/00 - Image analysis
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

29.

Process monitoring of deep structures with X-ray scatterometry

      
Application Number 16894480
Grant Number 11145559
Status In Force
Filing Date 2020-06-05
First Publication Date 2020-09-24
Grant Date 2021-10-12
Owner KLA-Tencor Corporation (USA)
Inventor
  • Gellineau, Antonio Arion
  • Dziura, Thaddeus Gerard

Abstract

Methods and systems for estimating values of process parameters, structural parameters, or both, based on x-ray scatterometry measurements of high aspect ratio semiconductor structures are presented herein. X-ray scatterometry measurements are performed at one or more steps of a fabrication process flow. The measurements are performed quickly and with sufficient accuracy to enable yield improvement of an on-going semiconductor fabrication process flow. Process corrections are determined based on the measured values of parameters of interest and the corrections are communicated to the process tool to change one or more process control parameters of the process tool. In some examples, measurements are performed while the wafer is being processed to control the on-going fabrication process step. In some examples, X-ray scatterometry measurements are performed after a particular process step and process control parameters are updated for processing of future devices.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01N 23/20 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by using reflection of the radiation by the materials
  • G03F 7/20 - Exposure; Apparatus therefor

30.

Correlating SEM and optical images for wafer noise nuisance identification

      
Application Number 16886255
Grant Number 10921262
Status In Force
Filing Date 2020-05-28
First Publication Date 2020-09-17
Grant Date 2021-02-16
Owner KLA-Tencor Corporation (USA)
Inventor
  • Zhang, Qiang
  • Chen, Grace H.

Abstract

Disclosed are apparatus and methods for inspecting a sample. Locations corresponding to candidate defect events on a sample are provided from an inspector operable to acquire optical images from which such candidate defect events are detected at their corresponding locations across the sample. High-resolution images are acquired from a high-resolution inspector of the candidate defect events at their corresponding locations on the sample. Each of a set of modelled optical images, which have been modeled from a set of the acquired high-resolution images, is correlated with corresponding ones of a set of the acquired optical images, to identify surface noise events, as shown in the set of high-resolution images, as sources for the corresponding candidate events in the set of acquired optical images. Otherwise, a subsurface event is identified as a likely source for a corresponding candidate defect event.

IPC Classes  ?

  • G01N 21/00 - Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 7/00 - Image analysis
  • G06T 7/174 - Segmentation; Edge detection involving the use of two or more images
  • G06T 7/11 - Region-based segmentation
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 21/956 - Inspecting patterns on the surface of objects

31.

Dynamic amelioration of misregistration measurement

      
Application Number 16470886
Grant Number 11551980
Status In Force
Filing Date 2019-05-19
First Publication Date 2020-09-10
Grant Date 2023-01-10
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Volkovich, Roie
  • Golotsvan, Anna
  • Abend, Eyal

Abstract

A dynamic misregistration measurement amelioration method including taking at least one misregistration measurement at multiple sites on a first semiconductor device wafer, which is selected from a batch of semiconductor device wafers intended to be identical, analyzing each of the misregistration measurements, using data from the analysis of each of the misregistration measurements to determine ameliorated misregistration measurement parameters at each one of the multiple sites, thereafter ameliorating misregistration metrology tool setup for ameliorated misregistration measurement at the each one of the multiple sites, thereby generating an ameliorated misregistration metrology tool setup and thereafter measuring misregistration at multiple sites on a second semiconductor device wafer, which is selected from the batch of semiconductor device wafers intended to be identical, using the ameliorated misregistration metrology tool setup.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

32.

Arbitrary wavefront compensator for deep ultraviolet (DUV) optical imaging system

      
Application Number 16119970
Grant Number 10761031
Status In Force
Filing Date 2018-08-31
First Publication Date 2020-09-01
Grant Date 2020-09-01
Owner KLA-Tencor Corporation (USA)
Inventor
  • Zhang, Qiang
  • Sezginer, Abdurrahman

Abstract

Disclosed is a system that includes a light source for generating an illumination beam and an illumination lens system for directing the illumination beam towards a sample. The system further includes a collection lens system for directing towards a detector output light from the sample in response to the illumination beam and a detector for receiving the output light from the sample. The collection lens system includes a fixed-design compensator plate having individually selectable filters with different configurations for correcting system aberration of the system under different operating conditions. The system also includes a controller operable for: (i) generating and directing the illumination beam towards the sample, (ii) selecting operating conditions and a filter for correcting the system aberration under such selected operating conditions, (iii) generating an image based on the output light, and (iv) determining whether the sample passes inspection or characterizing such sample based on the image.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

33.

Method of measuring misregistration of semiconductor devices

      
Application Number 16349101
Grant Number 10928739
Status In Force
Filing Date 2019-04-12
First Publication Date 2020-08-27
Grant Date 2021-02-23
Owner KLA-Tencor Corporation (USA)
Inventor
  • Volkovich, Roie
  • Dolev, Ido

Abstract

A method of measuring misregistration in the manufacture of semiconductor devices including providing a multilayered semiconductor device, using a scatterometry metrology tool to perform misregistration measurements at multiple sites on the multilayered semiconductor device, receiving raw misregistration data for each of the misregistration measurements, thereafter providing filtered misregistration data by removing outlying raw misregistration data points from the raw misregistration data for each of the misregistration measurements, using the filtered misregistration data to model misregistration for the multilayered semiconductor device, calculating correctables from the modeled misregistration for the multilayered semiconductor device, providing the correctables to the scatterometry metrology tool, thereafter recalibrating the scatterometry metrology tool based on the correctables and measuring misregistration using the scatterometry metrology tool following the recalibration.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01N 21/47 - Scattering, i.e. diffuse reflection

34.

File selection for test image to design alignment

      
Application Number 16677615
Grant Number 10922808
Status In Force
Filing Date 2019-11-07
First Publication Date 2020-08-20
Grant Date 2021-02-16
Owner KLA—Tencor Corp. (USA)
Inventor Brauer, Bjorn

Abstract

Methods and systems for selecting one or more design files for use in test image to design alignment are provided. One method includes identifying which of first and second sets of images best match test images generated for a specimen by comparing the first and second sets of images to the test images. The first and second sets of images include images of patterned features in first and second sets, respectively, of design layers on the specimen that are different from each other. The method also includes selecting design file(s) for the specimen that best match the identified set of images by comparing the identified set of images to the design files and storing information for the selected design file(s) for use in a process in which patterned features in the selected design file(s) are aligned to patterned features in test images generated for specimens in the process.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods

35.

Misregistration measurements using combined optical and electron beam technology

      
Application Number 16477552
Grant Number 11075126
Status In Force
Filing Date 2019-06-04
First Publication Date 2020-08-20
Grant Date 2021-07-27
Owner KLA-Tencor Corporation (USA)
Inventor
  • Volkovich, Roie
  • Yerushalmi, Liran
  • Gutman, Nadav

Abstract

A misregistration metrology system useful in manufacturing semiconductor device wafers including an optical misregistration metrology tool configured to measure misregistration at at least one target between two layers of a semiconductor device which is selected from a batch of semiconductor device wafers which are intended to be identical, an electron beam misregistration metrology tool configured to measure misregistration at the at least one target between two layers of a semiconductor device which is selected from the batch and a combiner operative to combine outputs of the optical misregistration metrology tool and the electron beam misregistration metrology tool to provide a combined misregistration metric.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

36.

System, method and non-transitory computer readable medium for tuning sensitivities of, and determining a process window for, a modulated wafer

      
Application Number 16862348
Grant Number 11139216
Status In Force
Filing Date 2020-04-29
First Publication Date 2020-08-13
Grant Date 2021-10-05
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Oram, David Craig
  • Mathur, Abhinav
  • Wu, Kenong
  • Shifrin, Eugene

Abstract

A system, method, and non-transitory computer readable medium are provided for tuning sensitivities of, and determining a process window for, a modulated wafer. The sensitivities for dies of the modulated wafer are tuned dynamically based on a single set of parameters. Further, the process window is determined for the modulated wafer from prior determined parameter-specific nominal process windows.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

37.

Photocathode emitter system that generates multiple electron beams

      
Application Number 16106272
Grant Number 10741354
Status In Force
Filing Date 2018-08-21
First Publication Date 2020-08-11
Grant Date 2020-08-11
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Delgado, Gildardo R.
  • Ioakeimidi, Katerina
  • Garcia, Rudy
  • Marks, Zefram
  • Lopez Lopez, Gary V.
  • Hill, Frances A.
  • Romero, Michael E.

Abstract

The system includes a photocathode electron source, diffractive optical element, and a microlens array to focus the beamlets. A source directs a radiation beam to the diffractive optical element, which produces a beamlet array to be used in combination with a photocathode surface to generate an array of electron beams from the beamlets.

IPC Classes  ?

  • H01J 37/00 - Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • G01N 23/20058 - Measuring diffraction of electrons, e.g. low energy electron diffraction [LEED] method or reflection high energy electron diffraction [RHEED] method
  • G02B 5/18 - Diffracting gratings
  • G02B 3/00 - Simple or compound lenses
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

38.

Moiré target and method for using the same in measuring misregistration of semiconductor devices

      
Application Number 16349089
Grant Number 11119419
Status In Force
Filing Date 2019-04-10
First Publication Date 2020-08-06
Grant Date 2021-09-14
Owner KLA-Tencor Corporation (USA)
Inventor Ghinovker, Mark

Abstract

A target for use in the optical measurement of misregistration in the manufacture of semiconductor devices, the target including a first periodic structure formed on a first layer of a semiconductor device and having a first pitch along an axis and a second periodic structure formed on a second layer of the semiconductor device and having a second pitch along the axis, different from the first pitch, the second periodic structure extending beyond the first periodic structure along the axis.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

39.

Measurement library optimization in semiconductor metrology

      
Application Number 16417085
Grant Number 10732520
Status In Force
Filing Date 2019-05-20
First Publication Date 2020-08-04
Grant Date 2020-08-04
Owner KLA Tencor Corporation (USA)
Inventor
  • Cao, Meng
  • Lee, Lie-Quan
  • Zhao, Qiang
  • Li, Heyin
  • Ye, Mengmeng

Abstract

Methods and systems for optimizing a set of measurement library control parameters for a particular metrology application are presented herein. Measurement signals are collected from one or more metrology targets by a target measurement system. Values of user selected parameters of interest are resolved by fitting a pre-computed measurement library function to the measurement signals for a given set of library control parameters. Values of one or more library control parameters are optimized such that differences between the values of the parameters of interest estimated by the library based measurement and reference values associated with trusted measurements of the parameters of interest are minimized. The optimization of the library control parameter values is performed without recalculating the pre-computed measurement library. Subsequent library based measurements are performed by the target measurement system using the optimized set of measurement library control parameters with improved measurement performance.

IPC Classes  ?

  • G06F 9/00 - Arrangements for program control, e.g. control units
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

40.

Repeater defect detection

      
Application Number 16845681
Grant Number 11204332
Status In Force
Filing Date 2020-04-10
First Publication Date 2020-07-30
Grant Date 2021-12-21
Owner KLA-Tencor Corporation (USA)
Inventor
  • Shifrin, Eugene
  • Brauer, Bjorn
  • Sen, Sumit
  • Mathew, Ashok
  • Chandrasekaran, Sreeram
  • Gao, Lisheng

Abstract

Defects from a hot scan can be saved, such as on persistent storage, random access memory, or a split database. The persistent storage can be patch-based virtual inspector virtual analyzer (VIVA) or local storage. Repeater defect detection jobs can determined and the wafer can be inspected based on the repeater defect detection jobs. Repeater defects can be analyzed and corresponding defect records to the repeater defects can be read from the persistent storage. These results may be returned to the high level defect detection controller.

IPC Classes  ?

  • G06K 9/00 - Methods or arrangements for reading or recognising printed or written characters or for recognising patterns, e.g. fingerprints
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06T 7/00 - Image analysis

41.

Measuring thin films on grating and bandgap on grating

      
Application Number 16848945
Grant Number 11555689
Status In Force
Filing Date 2020-04-15
First Publication Date 2020-07-30
Grant Date 2023-01-17
Owner KLA-Tencor Corporation (USA)
Inventor
  • Chouaib, Houssam
  • Tan, Zhengquan

Abstract

Methods and systems disclosed herein can measure thin film stacks, such as film on grating and bandgap on grating in semiconductors. For example, the thin film stack may be a 1D film stack, a 2D film on grating, or a 3D film on grating. One or more effective medium dispersion models are created for the film stack. Each effective medium dispersion model can substitute for one or more layers. A thickness of one or more layers can be determined using the effective medium dispersion based scatterometry model. In an instance, three effective medium dispersion based scatterometry models are developed and used to determine thickness of three layers in a film stack.

IPC Classes  ?

  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 29/49 - Metal-insulator semiconductor electrodes
  • G01B 15/02 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/51 - Insulating materials associated therewith
  • H01L 29/66 - Types of semiconductor device

42.

Systems and methods for optimizing focus for imaging-based overlay metrology

      
Application Number 16848056
Grant Number 11313669
Status In Force
Filing Date 2020-04-14
First Publication Date 2020-07-30
Grant Date 2022-04-26
Owner KLA-Tencor Corporation (USA)
Inventor
  • Manassen, Amnon
  • Hill, Andrew

Abstract

Methods and systems for focusing and measuring by mean of an interferometer device, having an optical coherence tomography (OCT) focusing system, by separately directing an overlapped measurement and reference wavefront towards a focus sensor and towards an imaging sensor; where a predefined focusing illumination spectrum of the overlapped wavefront is directed towards the focus sensor, and where a predefined measurement illumination spectrum of the overlapped wavefront is directed towards the imaging sensor. Methods and systems for maintaining focus of an interferometer device, having an OCT focusing system, during sample's stage moves.

IPC Classes  ?

  • G01B 9/02 - Interferometers
  • G01B 9/02091 - Tomographic interferometers, e.g. based on optical coherence
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

43.

Methods and systems for co-located metrology

      
Application Number 16257066
Grant Number 10804167
Status In Force
Filing Date 2019-01-24
First Publication Date 2020-07-30
Grant Date 2020-10-13
Owner KLA-Tencor Corporation (USA)
Inventor
  • Wang, David Y.
  • Salcin, Esen
  • Friedmann, Michael
  • Shaughnessy, Derrick
  • Shchegrov, Andrei V.
  • Madsen, Jonathan M.
  • Kuznetsov, Alexander

Abstract

Methods and systems for performing co-located measurements of semiconductor structures with two or more measurement subsystems are presented herein. To achieve a sufficiently small measurement box size, the metrology system monitors and corrects the alignment of the measurement spot of each metrology subsystem with a metrology target to achieve maximum co-location of the measurement spots of each metrology subsystem with the metrology target. In another aspect, measurements are performed simultaneously by two or more metrology subsystems at high throughput at the same wafer location. Furthermore, the metrology system effectively decouples simultaneously acquired measurement signals associated with each measurement subsystem. This maximizes signal information associated with simultaneous measurements of the same metrology by two or more metrology subsystems.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01B 11/02 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness
  • G06N 3/02 - Neural networks
  • G01N 21/21 - Polarisation-affecting properties

44.

Multi-sensor tiled camera with flexible electronics for wafer inspection

      
Application Number 16379900
Grant Number 10724964
Status In Force
Filing Date 2019-04-10
First Publication Date 2020-07-28
Grant Date 2020-07-28
Owner KLA-Tencor Corporation (USA)
Inventor
  • Pombo, Pablo
  • Lehman, Kurt

Abstract

Sensor units can be disposed in a support member. Each of the sensor units can include a folded flex board having a plurality of laminations and an aperture and a sensor disposed in the folded flex board such that the sensor is positioned over the aperture. The system can be used in broad band plasma inspection tools for semiconductor wafers.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H04N 5/225 - Television cameras

45.

Semiconductor metrology based on hyperspectral imaging

      
Application Number 16245695
Grant Number 10801953
Status In Force
Filing Date 2019-01-11
First Publication Date 2020-07-16
Grant Date 2020-10-13
Owner KLA-Tencor Corporation (USA)
Inventor
  • Wang, David Y.
  • Buettner, Alexander
  • Pandev, Stilian Ivanov
  • Saerchen, Emanuel
  • Shchegrov, Andrei V.
  • Blasenheim, Barry

Abstract

Methods and systems for performing semiconductor measurements based on hyperspectral imaging are presented herein. A hyperspectral imaging system images a wafer over a large field of view with high pixel density over a broad range of wavelengths. Image signals collected from a measurement area are detected at a number of pixels. The detected image signals from each pixel are spectrally analyzed separately. In some embodiments, the illumination and collection optics of a hyperspectral imaging system include fiber optical elements to direct illumination light from the illumination source to the measurement area on the surface of the specimen under measurement and fiber optical elements to image the measurement area. In another aspect, a fiber optics collector includes an image pixel mapper that couples a two dimensional array of collection fiber optical elements into a one dimensional array of pixels at the spectrometer and the hyperspectral detector.

IPC Classes  ?

  • G01J 3/28 - Investigating the spectrum
  • G01N 21/3563 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/21 - Polarisation-affecting properties

46.

Method and system for optical three dimensional topography measurement

      
Application Number 16806076
Grant Number 11287248
Status In Force
Filing Date 2020-03-02
First Publication Date 2020-07-09
Grant Date 2022-03-29
Owner KLA-Tencor Corporation (USA)
Inventor
  • Zhao, Guoheng
  • Van Der Burgt, Maarten
  • Liu, Sheng
  • Hill, Andy
  • De Greeve, Johan
  • Van Gils, Karel

Abstract

For three-dimensional topography measurement of a surface of an object patterned illumination is projected on the surface through an objective. A relative movement between the object and the objective is carried out, and plural images of the surface are recorded through the objective by a detector. The direction of the relative movement includes an oblique angle with an optical axis of the objective. Height information for a given position on the surface is derived from a variation of the intensity recorded from the respective position. Also, patterned illumination and uniform illumination may be projected alternatingly on the surface, while images of the surface are recorded during a relative movement of the object and the objective along an optical axis of the objective. Uniform illumination is used for obtaining height information for specular structures on the surface, patterned illumination is used for obtaining height information on other parts of the surface.

IPC Classes  ?

  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness

47.

Boron-based capping layers for EUV optics

      
Application Number 16413740
Grant Number 11268911
Status In Force
Filing Date 2019-05-16
First Publication Date 2020-07-09
Grant Date 2022-03-08
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Delgado, Gildardo R
  • Hill, Shannon B
  • Marks, Zefram

Abstract

Disclosed herein are optical elements and methods for making the same. Such optical elements may comprise a first layer disposed on a substrate, a second layer disposed on the first layer, a terminal layer disposed on the second layer, and a cap layer disposed on the terminal layer. The cap layer may comprise boron, boron nitride, or boron carbide. Such optical elements may be made using a method comprising depositing a first layer using vapor deposition such that the first layer is disposed on a substrate, depositing a second layer using vapor deposition such that the second layer is disposed on the first layer, depositing a terminal layer using vapor deposition such that the terminal layer is disposed on the second layer, and depositing a cap layer comprising boron, boron nitride, or boron carbide using vapor deposition such that the cap layer is disposed on the terminal layer.

IPC Classes  ?

  • H01L 27/146 - Imager structures
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/16 - Coating processes; Apparatus therefor
  • H01L 31/0216 - Coatings

48.

Differential imaging for single-path optical wafer inspection

      
Application Number 16229816
Grant Number 11138722
Status In Force
Filing Date 2018-12-21
First Publication Date 2020-06-25
Grant Date 2021-10-05
Owner KLA-Tencor Corporation (USA)
Inventor
  • Shchemelinin, Anatoly
  • Bezel, Ilya
  • Shifrin, Eugene

Abstract

Methods and systems for enhanced defect detection based on images collected by at least two imaging detectors at different times are described. In some embodiments, the time between image measurements is at least 100 microseconds and no more than 10 milliseconds. In one aspect, one or more defects of interest are identified based on a composite image of a measured area generated based on a difference between collected images. In a further aspect, measurement conditions associated with the each imaged location are adjusted to be different for measurements performed by at least two imaging detectors at different times. In some embodiments, the measurement conditions are adjusted during the time between measurements by different imaging detectors. Exemplary changes of measurement conditions include environmental changes at the wafer under measurement and changes made to the optical configuration of the inspection system.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination

49.

Radiation-induced false count mitigation and detector cooling

      
Application Number 16170954
Grant Number 10690599
Status In Force
Filing Date 2018-10-25
First Publication Date 2020-06-23
Grant Date 2020-06-23
Owner KLA-Tencor Corporation (USA)
Inventor
  • Biellak, Stephen
  • Trytko, Tyler

Abstract

An inspection system with radiation-induced false count mitigation includes an illumination source configured to illuminate a sample and a liquid-cooling coincidence detector, which includes an illumination detector to detect illumination from the sample, a liquid-cooling device for regulating a temperature of the illumination detector via a liquid, and photodetectors to detect light generated in the liquid in response to particle radiation. The liquid-cooling coincidence detector may also include controllers to identify a set of illumination detection events based on an illumination signal received from the illumination detector, identify a set of radiation detection events based on radiation signals received from the photodetectors, compare the set of radiation detection events to the set of illumination detection events to identify a set of coincidence events, and exclude the set of coincidence events from the set of illumination detection events to generate a set of identified features on the sample.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

50.

Adaptive numerical aperture control method and system

      
Application Number 15214371
Grant Number 10678226
Status In Force
Filing Date 2016-07-19
First Publication Date 2020-06-09
Grant Date 2020-06-09
Owner KLA-Tencor Corporation (USA)
Inventor
  • Wang, Qiang
  • Lee, Liequan
  • Li, Xin
  • Zhao, Qiang

Abstract

Systems and methods for providing efficient modeling and measurement of critical dimensions and/or overlay registrations of wafers are disclosed. Efficiency is improved in both spectral dimension and temporal dimension. In the spectral dimension, efficiency can be improved by allowing different numerical aperture (NA) models to be used for different wavelengths in electromagnetic calculations, effectively providing a balance between computation speed and accuracy. In the temporal dimension, different NA models may be used at different iterations/stages in the process, effectively improving the computation speed without sacrificing the quality of the final result.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01B 11/00 - Measuring arrangements characterised by the use of optical techniques
  • G01N 21/956 - Inspecting patterns on the surface of objects

51.

Using deep learning based defect detection and classification schemes for pixel level image quantification

      
Application Number 16249337
Grant Number 10672588
Status In Force
Filing Date 2019-01-16
First Publication Date 2020-05-21
Grant Date 2020-06-02
Owner KLA-Tencor Corporation (USA)
Inventor
  • Pathangi, Hari
  • Meenakshisundaram, Sivaprrasath
  • Bansal, Tanay

Abstract

A heat map of probable defects in an image can be represented as a matrix of defect probability index corresponding to each pixel. The image may be generated from data received from a detector of a scanning electron microscope or other inspection tools. A number of pixels in the image that exceed a corresponding threshold in the matrix can be quantified.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • G06T 7/00 - Image analysis

52.

Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)

      
Application Number 16491963
Grant Number 11119417
Status In Force
Filing Date 2019-08-05
First Publication Date 2020-05-21
Grant Date 2021-09-14
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Paskover, Yuri
  • Amit, Eran

Abstract

Scatterometry overlay (SCOL) measurement methods, systems and targets are provided to enable efficient SCOL metrology with in-die targets. Methods comprise generating a signal matrix by: illuminating a SCOL target at multiple values of at least one illumination parameter, and at multiple spot locations on the target, wherein the illumination is at a NA (numerical aperture) >⅓ yielding a spot diameter <1μ, measuring interference signals of zeroth and first diffraction orders, and constructing the signal matrix from the measured signals with respect to the illumination parameters and the spot locations on the target; and deriving a target overlay by analyzing the signal matrix. The SCOL targets may be reduced to be a tenth in size with respect to prior art targets, as less and smaller target cells are required, and be easily set in-die to improve the accuracy and fidelity of the metrology measurements.

IPC Classes  ?

  • G01B 11/02 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 9/02 - Interferometers
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

53.

Topographic phase control for overlay measurement

      
Application Number 16672483
Grant Number 11314173
Status In Force
Filing Date 2019-11-03
First Publication Date 2020-05-07
Grant Date 2022-04-26
Owner KLA-Tencor Corporation (USA)
Inventor
  • Levinski, Vladimir
  • Paskover, Yuri
  • Manassen, Amnon
  • Shalibo, Yoni

Abstract

Metrology tools and methods are provided, which estimate the effect of topographic phases corresponding to different diffraction orders, which result from light scattering on periodic targets, and adjust the measurement conditions to improve measurement accuracy. In imaging, overlay error magnification may be reduced by choosing appropriate measurement conditions based on analysis of contrast function behavior, changing illumination conditions (reducing spectrum width and illumination NA), using polarizing targets and/or optical systems, using multiple defocusing positions etc. On-the-fly calibration of measurement results may be carried out in imaging or scatterometry using additional measurements or additional target cells.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H04N 5/232 - Devices for controlling television cameras, e.g. remote control
  • G06T 7/80 - Analysis of captured images to determine intrinsic or extrinsic camera parameters, i.e. camera calibration
  • G02B 7/38 - Systems for automatic generation of focusing signals using image sharpness techniques measured at different points on the optical axis
  • G02B 27/32 - Fiducial marks or measuring scales within the optical system
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination

54.

Estimating amplitude and phase asymmetry in imaging technology for achieving high accuracy in overlay metrology

      
Application Number 16075811
Grant Number 10866090
Status In Force
Filing Date 2018-07-05
First Publication Date 2020-04-30
Grant Date 2020-12-15
Owner KLA-Tencor Corporation (USA)
Inventor
  • Marciano, Tal
  • Gutman, Nadav
  • Paskover, Yuri
  • Cohen, Guy
  • Levinski, Vladimir

Abstract

Metrology methods are provided for deriving metrology measurement parameter value(s) by identifying the value(s) in which the corresponding metrology measurement signal(s) have minimal amplitude asymmetry. Selecting the measurement parameter values as disclosed reduces significantly the measurement inaccuracy. For example, wavelength values and/or focus values may be detected to indicate minimal amplitude asymmetry and/or minimal phase asymmetry. In certain embodiments, wavelength values which provide minimal amplitude asymmetry also provide minimal signal sensitivity to focus. Developed metrics may be further used to indicate process robustness across wafers and lots. In some embodiments, imaging accuracy may be enhanced by through-focus landscaping of the amplitude asymmetry and detection of parameters values with minimal amplitude asymmetry.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

55.

Algorithm selector based on image frames

      
Application Number 16389442
Grant Number 10801968
Status In Force
Filing Date 2019-04-19
First Publication Date 2020-04-30
Grant Date 2020-10-13
Owner KLA-Tencor Corporation (USA)
Inventor Brauer, Bjorn

Abstract

Based on job dumps for defects of interest and nuisance events for multiple optical modes, detection algorithms, and attributes, the best combination of the aforementioned is identified. Combinations of each of the modes with each of the detection algorithms can be compared for all the defects of interest detected at an offset of zero. Capture rate versus nuisance rate can be determined for one of the attributes in each of the combinations.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects

56.

Estimation of asymmetric aberrations

      
Application Number 16305629
Grant Number 10824082
Status In Force
Filing Date 2018-10-30
First Publication Date 2020-04-30
Grant Date 2020-11-03
Owner KLA-Tencor Corporation (USA)
Inventor
  • Feler, Yoel
  • Levinski, Vladimir

Abstract

Metrology targets, target design methods and menology measurement methods are provided, which estimate the effects of asymmetric aberrations, independently or in conjunction with metrology overlay estimations. Targets comprise one or more pairs of segmented periodic structures having a same coarse pitch, a same 1:1 line to space ratio and segmented into fine elements at a same fine pitch, wherein the segmented periodic structures differ from each other in that one thereof lacks at least one of its corresponding fine elements and/or in that one thereof comprises two groups of the fine elements which are separated from each other by a multiple of the fine pitch. The missing element(s) and/or central gap enable deriving the estimation of aberration effects from measurements of the corresponding segmented periodic structures. The fine pitches may be selected to correspond to the device fine pitches in the corresponding layer.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

57.

Off-axis illumination overlay measurement using two-diffracted orders imaging

      
Application Number 16317603
Grant Number 11281111
Status In Force
Filing Date 2018-12-14
First Publication Date 2020-04-30
Grant Date 2022-03-22
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Shalibo, Yoni
  • Paskover, Yuri
  • Levinski, Vladimir
  • Manassen, Amnon
  • Eisenbach, Shlomo
  • Laredo, Gilad
  • Hildesheim, Ariel

Abstract

st diffraction orders.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 11/14 - Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures

58.

Metrology targets and methods with oblique periodic structures

      
Application Number 16313972
Grant Number 11137692
Status In Force
Filing Date 2018-11-29
First Publication Date 2020-04-23
Grant Date 2021-10-05
Owner KLA-Tencor Corporation (USA)
Inventor
  • Feler, Yoel
  • Ghinovker, Mark
  • Svizher, Alexander
  • Levinski, Vladimir
  • Tarshish-Shapir, Inna

Abstract

Metrology targets, design methods and measurement methods thereof are provided with periodic structure(s) which are oblique with respect to orthogonal production axes X and Y of the lithography tool—enabling more accurate overlay measurements of devices having diagonal (oblique, tilted) elements such as DRAM devices. One or more oblique periodic structure(s) may be used to provide one- or two-dimensional signals, with respect to one or more layers, possibly providing overlay measurements for multiple steps applied to one layer. The oblique periodic structure(s) may be used to modify current metrology target designs (e.g., imaging targets and/or scatterometry targets) or to design new targets, and measurement algorithms may be adjusted respectively to derive signals from the oblique periodic structure(s) and/or to provide pre-processed images thereof. The disclosed targets are process compatible and reflect more accurately the device overlays with respect to various process steps.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

59.

And noise based care areas

      
Application Number 16364161
Grant Number 10832396
Status In Force
Filing Date 2019-03-25
First Publication Date 2020-04-23
Grant Date 2020-11-10
Owner KLA-Tencor Corp. (USA)
Inventor
  • Duffy, Brian
  • Plihal, Martin
  • Bhattacharyya, Santosh
  • Rouse, Gordon
  • Maher, Chris
  • Soltanmohammadi, Erfan

Abstract

Methods and systems for setting up inspection of a specimen with design and noise based care areas are provided. One system includes one or more computer subsystems configured for generating a design-based care area for a specimen. The computer subsystem(s) are also configured for determining one or more output attributes for multiple instances of the care area on the specimen, and the one or more output attributes are determined from output generated by an output acquisition subsystem for the multiple instances. The computer subsystem(s) are further configured for separating the multiple instances of the care area on the specimen into different care area sub-groups such that the different care area sub-groups have statistically different values of the output attribute(s) and selecting a parameter of an inspection recipe for the specimen based on the different care area sub-groups.

IPC Classes  ?

  • G03F 1/84 - Inspecting
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06T 7/11 - Region-based segmentation
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01R 31/308 - Contactless testing using non-ionising electromagnetic radiation, e.g. optical radiation

60.

Deflection array apparatus for multi-electron beam system

      
Application Number 16230325
Grant Number 10748739
Status In Force
Filing Date 2018-12-21
First Publication Date 2020-04-16
Grant Date 2020-08-18
Owner KLA-Tencor Corporation (USA)
Inventor
  • Jiang, Xinrong
  • Sears, Christopher

Abstract

An optical characterization system utilizing a micro-lens array (MLA) is provided. The system may include an electron source and a MLA including a micro-deflection array (MDA). The MDA may include an insulator substrate and a plurality of hexapole electrostatic deflectors disposed on the insulator substrate. The MDA may further include a plurality of voltage connecting lines configured to electrically couple the plurality of hexapole electrostatic deflectors to one or more voltage sources. The MDA may be configured to split a primary electron beam from the electron source into a plurality of primary electron beamlets. The system may be configured to focus the plurality of primary electron beamlets at a wafer plane.

IPC Classes  ?

61.

Photocathode designs and methods of generating an electron beam using a photocathode

      
Application Number 16704061
Grant Number 10804069
Status In Force
Filing Date 2019-12-05
First Publication Date 2020-04-09
Grant Date 2020-10-13
Owner KLA-Tencor Corporation (USA)
Inventor
  • Ioakeimidi, Katerina
  • Delgado, Gildardo R.
  • Romero, Michael E.
  • Hill, Frances
  • Garcia, Rudy F.

Abstract

A photocathode can include a body fabricated of a wide bandgap semiconductor material, a metal layer, and an alkali halide photocathode emitter. The body may have a thickness of less than 100 nm and the alkali halide photocathode may have a thickness less than 10 nm. The photocathode can be illuminated with a dual wavelength scheme.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • G02B 27/09 - Beam shaping, e.g. changing the cross-sectioned area, not otherwise provided for
  • H01J 40/06 - Photo-emissive cathodes
  • H01J 40/18 - Photoelectric discharge tubes not involving the ionisation of a gas having photo-emissive cathode, e.g. alkaline photoelectric cell with luminescent coatings for influencing the sensitivity of the tube, e.g. by converting the input wavelength
  • H01J 1/304 - Field-emissive cathodes
  • H01J 19/24 - Cold cathodes, e.g. field-emissive cathode
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 1/34 - Photo-emissive cathodes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

62.

Metal encapsulated photocathode electron emitter

      
Application Number 16259317
Grant Number 10714295
Status In Force
Filing Date 2019-01-28
First Publication Date 2020-03-19
Grant Date 2020-07-14
Owner KLA-Tencor Corporation (USA)
Inventor
  • Delgado, Gildardo R.
  • Ioakeimidi, Katerina
  • Hill, Frances
  • Lopez Lopez, Gary V.
  • Garcia, Rudy F.

Abstract

2Te, CsKTe, CsI, CsBr, GaAs, GaN, InSb, CsKSb, or a metal, has a protective film on an exterior surface. The protective film includes one or more of ruthenium, nickel, platinum, chromium, copper, gold, silver, aluminum, or an alloy thereof. The protective film can have a thickness from 1 nm to 10 nm. The photocathode structure can be used in an electron beam tool like a scanning electron microscope.

IPC Classes  ?

  • H01J 40/06 - Photo-emissive cathodes
  • H01J 1/34 - Photo-emissive cathodes
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

63.

Using stochastic failure metrics in semiconductor manufacturing

      
Application Number 16241467
Grant Number 10818001
Status In Force
Filing Date 2019-01-07
First Publication Date 2020-03-12
Grant Date 2020-10-27
Owner KLA-Tencor Corporation (USA)
Inventor
  • Leung, Wing-Shan Ribi
  • Sah, Kaushik
  • Park, Allen
  • Cross, Andrew

Abstract

A stochastic calculation engine receives inputs from a semiconductor inspection tool or semiconductor review tool. The stochastic calculation engine determines abnormal locations and pattern variation from the inputs and determines stochastic failures from the inputs. An electronic data storage unit connected with the stochastic calculation engine can include a database with known stochastic behavior and known process metrology variations. The stochastic calculation engine can flag stochastic features, determine a failure rate, or determine fail probability.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

64.

Phase revealing optical and X-ray semiconductor metrology

      
Application Number 16047818
Grant Number 10677586
Status In Force
Filing Date 2018-07-27
First Publication Date 2020-03-12
Grant Date 2020-06-09
Owner KLA-Tencor Corporation (USA)
Inventor
  • Hench, John
  • Veldman, Andrei

Abstract

The embodiments disclosed herein can enable a target on a semiconductor wafer to be reconstructed and/or imaged. A surface of a target on a semiconductor wafer is measured using a wafer metrology tool. A voxel map of the surface is fixed to match geometry measurements and using scattering density of expected materials. Uniform scaling of the scattering density of all fixed surface voxels can occur.

IPC Classes  ?

  • G01N 21/94 - Investigating contamination, e.g. dust
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • G01N 23/083 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
  • G06T 9/20 - Contour coding, e.g. using detection of edges
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

65.

System and method for fabricating semiconductor wafer features having controlled dimensions

      
Application Number 16184898
Grant Number 10796969
Status In Force
Filing Date 2018-11-08
First Publication Date 2020-03-12
Grant Date 2020-10-06
Owner KLA-TENCOR CORPORATION (USA)
Inventor Quli, Farhat A.

Abstract

A system and method are provided for fabricating semiconductor wafer features with controlled dimensions. In use, a top surface of a semiconductor wafer is identified. A first portion of the top surface of the semiconductor wafer is then vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall. Additionally, a film is uniformly deposited across the horizontal face and the vertical sidewall of the step. Further, the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/308 - Chemical or electrical treatment, e.g. electrolytic etching using masks
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/306 - Chemical or electrical treatment, e.g. electrolytic etching

66.

High accuracy of relative defect locations for repeater analysis

      
Application Number 16613787
Grant Number 11067516
Status In Force
Filing Date 2018-05-14
First Publication Date 2020-03-05
Grant Date 2021-07-20
Owner KLA-Tencor Corp. (USA)
Inventor
  • Suman, Shishir
  • Wu, Kenong
  • Chen, Hong

Abstract

Methods and systems for transforming positions of defects detected on a wafer are provided. One method includes aligning output of an inspection subsystem for a first frame in a first swath in a first die in a first instance of a multi-die reticle printed on the wafer to the output for corresponding frames, swaths, and dies in other reticle instances printed on the wafer. The method also includes determining different swath coordinate offsets for each of the frames, respectively, in the other reticle instances based on the swath coordinates of the output for the frames and the corresponding frames aligned thereto and applying one of the different swath coordinate offsets to the swath coordinates reported for the defects based on the other reticle instances in which they are detected thereby transforming the swath coordinates for the defects from swath coordinates in the other reticle instances to the first reticle instance.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination

67.

Resistivity probes with curved portions

      
Application Number 16679223
Grant Number 11249110
Status In Force
Filing Date 2019-11-10
First Publication Date 2020-03-05
Grant Date 2022-02-15
Owner KLA-Tencor Corporation (USA)
Inventor
  • Johnson, Iii, Walter H.
  • Zhu, Nanchang
  • Liu, Xianghua
  • Cui, Jianli
  • Shi, Zhu-Bin
  • Zhang, Zhuoxian
  • You, Haiyang
  • Yu, Lu
  • Shi, Jianou
  • Zhang, Fan

Abstract

Resistivity probes can be used to test integrated circuits. In one example, a resistivity probe has a substrate with multiple vias and multiple metal pins. Each of the metal pins is disposed in one of the vias. The metal pins extend out of the substrate. Interconnects provide an electrical connection to the metal pins. In another example, a resistivity probe has a substrate with a top surface and multiple elements extending from the substrate. Each of the elements curves from the substrate to a tip of the element such that each of the elements is non-parallel to the top surface of the substrate.

IPC Classes  ?

68.

Chromatic confocal area sensor

      
Application Number 16513091
Grant Number 10866092
Status In Force
Filing Date 2019-07-16
First Publication Date 2020-01-30
Grant Date 2020-12-15
Owner KLA-Tencor Corporation (USA)
Inventor
  • Wouters, Christophe
  • Joris, Kristof
  • De Greeve, Johan

Abstract

3D measurements of features on a workpiece, such as ball height, co-planarity, component thickness, or warpage, are determined. The system includes a broadband light source, a microlens array, a tunable color filter, a lens system, and a detector. The microlens array can focus a light beam to points in a focal plane of the microlens array. The tunable color filter can narrow the light beam to a band at a central wavelength. The lens system can provide longitudinal chromatic aberration whereby different wavelengths are imaged at different distances from the lens system.

IPC Classes  ?

  • G01B 11/28 - Measuring arrangements characterised by the use of optical techniques for measuring areas

69.

Reducing Device Overlay Errors

      
Application Number 16077214
Status Pending
Filing Date 2018-07-30
First Publication Date 2020-01-30
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Yerushalmi, Liran
  • Volkovich, Roie

Abstract

Process control methods, metrology targets and production systems are provided for reducing or eliminating process overlay errors. Metrology targets have pair(s) of periodic structures with different segmentations, e.g., no segmentation in one periodic structure and device-like segmentation in the other periodic structure of the pair. Process control methods derive metrology measurements from the periodic structures at the previous layer directly following the production thereof, and prior to production of the periodic structures at the current layer, and use the derived measurements to adjust lithography stage(s) that is part of production of the current layer. Production system integrate lithography tool(s) and metrology tool(s) into a production feedback loop that enables layer-by-layer process adjustments.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

70.

Ultra-high sensitivity hybrid inspection with full wafer coverage capability

      
Application Number 16272905
Grant Number 10545099
Status In Force
Filing Date 2019-02-11
First Publication Date 2020-01-28
Grant Date 2020-01-28
Owner KLA-Tencor Corporation (USA)
Inventor
  • Chen, Grace
  • Muray, Lawrence

Abstract

Disclosed are apparatus and methods for detecting defects on a semiconductor sample. An optical inspector is first used to inspect a semiconductor sample with an aggressively predefined threshold selected to detect candidate defect and nuisance sites at corresponding locations across the sample. A high-resolution distributed probe inspector includes an array of miniature probes that are moved relative to the sample to scan and obtain a high-resolution image of each site to detect and separate the candidate defect sites from the nuisance sites. A higher-resolution probe is then used to obtain a higher-resolution image of each candidate site to obtain a high-resolution image of each site to separate real defects that adversely impact operation of any devices on the sample from the candidate defects.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/20 - Exposure; Apparatus therefor
  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

71.

Haze mask system for haze suppression

      
Application Number 16271506
Grant Number 10522426
Status In Force
Filing Date 2019-02-08
First Publication Date 2019-12-31
Grant Date 2019-12-31
Owner KLA-Tencor Corporation (USA)
Inventor
  • Yuan, Hongxing
  • Pokras, Dimitry
  • Vanhoomissen, William
  • Chan, Douglas

Abstract

This system and method minimize an effect of haze to signal-to-noise ratio and compensate for haze on the haze map. A first mask with a first aperture is disposed along the path of the light beam between a light source and a collector. A first actuator moves the first mask along a tangential direction. A second mask with a second aperture is disposed along the path of the light beam between the first mask and the collector. A second actuator moves the second mask along a radial direction perpendicular to the tangential direction. The first mask and the second mask are independently movable along the tangential direction and the radial direction using the first actuator and the second actuator.

IPC Classes  ?

  • G01N 21/00 - Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01B 11/30 - Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces

72.

Hybrid design layout to identify optical proximity correction-related systematic defects

      
Application Number 16200060
Grant Number 10796065
Status In Force
Filing Date 2018-11-26
First Publication Date 2019-12-26
Grant Date 2020-10-06
Owner KLA-Tencor Corporation (USA)
Inventor
  • Park, Allen
  • Jain, Ankit

Abstract

Defects can be identified using a hybrid design layout that includes a printable layer and a non-printed layer. The hybrid design layout can be generated by incorporating at least a portion of the non-printable layer layout with the printable layer layout. Defects can be identified using optical or scanning electron beam images.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

73.

Process and metrology control, process indicators and root cause analysis tools based on landscape information

      
Application Number 16013344
Grant Number 10962951
Status In Force
Filing Date 2018-06-20
First Publication Date 2019-12-26
Grant Date 2021-03-30
Owner KLA-Tencor Corporation (USA)
Inventor
  • Volkovich, Roie
  • Abramovitz, Yaniv

Abstract

Methods and metrology modules are provided, which derive landscape information (expressing relation(s) between metrology metric(s) and measurement parameters) from produced wafers, identifying therein indications for production process changes, and modify production process parameters with respect to the identified indications, to maintain the production process within specified requirements. Process changes may be detected in wafer(s), wafer lot(s) and batches, and the information may be used to detect root causes for the changes with respect to production tools and steps and to indicate tool aging and required maintenance. The information and its analysis may further be used to optimize the working point parameters, to optimizing designs of devices and/or targets and/or to train corresponding algorithms to perform the identifying, e.g., using training wafers.

IPC Classes  ?

  • G05B 19/401 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes

74.

Embedded particle depth binning based on multiple scattering signals

      
Application Number 16165742
Grant Number 10732130
Status In Force
Filing Date 2018-10-19
First Publication Date 2019-12-19
Grant Date 2020-08-04
Owner KLA-Tencor Corporation (USA)
Inventor
  • Zhang, Haiping
  • Yu, Gang

Abstract

An inspection system may include an illumination source to generate an illumination beam, illumination optics to direct the illumination beam to a sample. The system may further include a first collection channel to collect light from the sample within a first range of solid angles and at a first selected polarization. The system may further include a second collection channel to collect light from the sample within a second angular range, the second range of solid angles and at a second selected polarization. The system may further include a controller to receive two or more scattering signals. The scattering signals may include signals from the first and second collection channels having selected polarizations. The controller may further determine depths of defects in the sample based on comparing the two or more scattering signals to training data including data from a training sample having known defects at known depths.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/47 - Scattering, i.e. diffuse reflection

75.

Pick-and-place head and method for picking work-pieces

      
Application Number 16555578
Grant Number 11020862
Status In Force
Filing Date 2019-08-29
First Publication Date 2019-12-19
Grant Date 2021-06-01
Owner KLA-Tencor Corporation (USA)
Inventor Truyens, Carl

Abstract

A pick-and-place head for picking a plurality of work-pieces from at least one first location and for placing the plurality of work-pieces at least one second location is disclosed. The pick-and-place head exhibits a plurality of nozzles, wherein each nozzle is configured to engage one of the work-pieces by action of a vacuum. At least one nozzle has an individual vacuum supply and at least two further nozzles have a shared vacuum supply. A corresponding method is also disclosed, the method including the steps of approaching at least one of the plurality of work-pieces with a respective nozzle and then starting generation of a vacuum at each respective nozzle. The generation of vacuum in at least one nozzle is achieved by an individual vacuum supply, and generation of vacuum in at least two further nozzles is achieved by a shared vacuum supply of the at least two further nozzles.

IPC Classes  ?

  • B65G 47/91 - Devices for picking-up and depositing articles or materials incorporating pneumatic, e.g. suction, grippers
  • B25J 15/00 - Gripping heads
  • B25J 15/06 - Gripping heads with vacuum or magnetic holding means

76.

Back-illuminated sensor and a method of manufacturing a sensor

      
Application Number 16421212
Grant Number 11114489
Status In Force
Filing Date 2019-05-23
First Publication Date 2019-12-19
Grant Date 2021-09-07
Owner
  • KLA-Tencor Corporation (USA)
  • Hamamatsu Photonics K.K. (Japan)
Inventor
  • Chuang, Yung-Ho Alex
  • Zhang, Jingjing
  • Fielden, John
  • Brown, David L.
  • Muramatsu, Masaharu
  • Yoneta, Yasuhito
  • Otsuka, Shinya

Abstract

An image sensor for electrons or short-wavelength light includes a semiconductor membrane, circuit elements formed on one surface of the semiconductor membrane, and a pure boron layer on the other surface of the semiconductor membrane. The circuit elements are connected by metal interconnects comprising a refractory metal. An anti-reflection or protective layer may be formed on top of the pure boron layer. This image sensor has high efficiency and good stability even under continuous use at high flux for multiple years. The image sensor may be fabricated using CCD (charge coupled device) or CMOS (complementary metal oxide semiconductor) technology. The image sensor may be a two-dimensional area sensor, or a one-dimensional array sensor.

IPC Classes  ?

77.

Correlating SEM and optical images for wafer noise nuisance identification

      
Application Number 16394723
Grant Number 10697900
Status In Force
Filing Date 2019-04-25
First Publication Date 2019-12-19
Grant Date 2020-06-30
Owner KLA-Tencor Corporation (USA)
Inventor
  • Zhang, Qiang
  • Chen, Grace H.

Abstract

Disclosed are apparatus and methods for inspecting a semiconductor sample. Locations corresponding to candidate defect events on a semiconductor sample are provided from an optical inspector operable to acquire optical images from which such candidate defect events are detected at their corresponding locations across the sample. High-resolution images are acquired from a high-resolution inspector of the candidate defect events at their corresponding locations on the sample. Each of a set of modelled optical images, which have been modeled from a set of the acquired high-resolution images, is correlated with corresponding ones of a set of the acquired optical images, to identify surface noise events, as shown in the set of high-resolution images, as sources for the corresponding candidate events in the set of acquired optical images. Otherwise, a subsurface event is identified as a likely source for a corresponding candidate defect event.

IPC Classes  ?

  • G01N 21/00 - Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 7/00 - Image analysis
  • G06T 7/174 - Segmentation; Edge detection involving the use of two or more images
  • G06T 7/11 - Region-based segmentation
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 21/956 - Inspecting patterns on the surface of objects

78.

Neutral atom imaging system

      
Application Number 16141150
Grant Number 10714307
Status In Force
Filing Date 2018-09-25
First Publication Date 2019-12-12
Grant Date 2020-07-14
Owner KLA-Tencor Corporation (USA)
Inventor
  • Bezel, Ilya
  • Shifrin, Eugene
  • Delgado, Gildardo
  • Garcia, Rudy F.

Abstract

An imaging system utilizing atomic atoms is provided. The system may include a neutral atom source configured to generate a beam of neutral atoms. The system may also include an ionizer configured to collect neutral atoms scattered from the surface of a sample. The ionizer may also be configured to ionize the collected neutral atoms. The system may also include a selector configured to receive ions from the ionizer and selectively filter received ions. The system may also include one or more optical elements configured to direct selected ions to a detector. The detector may be configured to generate one or more images of the surface of the sample based on the received ions.

IPC Classes  ?

  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/08 - Ion sources; Ion guns
  • H01J 37/304 - Controlling tubes by information coming from the objects, e.g. correction signals
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/00 - Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof

79.

Cross layer common-unique analysis for nuisance filtering

      
Application Number 16196090
Grant Number 11151711
Status In Force
Filing Date 2018-11-20
First Publication Date 2019-12-12
Grant Date 2021-10-19
Owner KLA-Tencor Corporation (USA)
Inventor Brauer, Bjorn

Abstract

Common events between layers on a semiconductor wafer are filtered. Common events should contain the majority of defects of interest. Only nuisance events that are common between layers on the semiconductor wafer remain, which reduces the nuisance rate. Defects that are common across layers can be filtered based on, for example, defect coordinates, a difference image, or defect attributes.

IPC Classes  ?

  • H01L 27/14 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy
  • G06T 7/00 - Image analysis

80.

Overlay measurement using phase and amplitude modeling

      
Application Number 16068462
Grant Number 10622238
Status In Force
Filing Date 2018-06-07
First Publication Date 2019-12-12
Grant Date 2020-04-14
Owner KLA-Tencor Corporation (USA)
Inventor
  • Gutman, Nadav
  • Zaberchik, Moran
  • Amit, Eran

Abstract

Methods and systems are disclosed for determining overlay in a semiconductor manufacturing process. Radiation reflected from a diffraction pattern in a metrology target may include +1 and −1 diffraction patterns at different wavelengths and focal positions. The different wavelengths of radiation may be in a waveband where the sensitivity of contrast to wavelength is at a maximum. The reflected radiation may be analysed to obtain measured values of overlay as well as amplitude and/or phase corresponding to points distributed over the target, for different wavelengths and focal positions. The measured values of overlay may undergo a series of operations to determine the overlay. The determination may use an assumption that the amplitude and phase are unequal in the +1 and −1 diffraction orders.

IPC Classes  ?

  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
  • G06T 1/00 - General purpose image data processing
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G06T 7/00 - Image analysis

81.

Charge control device for a system with multiple electron beams

      
Application Number 16112832
Grant Number 11087950
Status In Force
Filing Date 2018-08-27
First Publication Date 2019-12-05
Grant Date 2021-08-10
Owner KLA-Tencor Corporation (USA)
Inventor
  • Sears, Christopher
  • Grella, Luca

Abstract

Systems and methods to focus and align multiple electron beams are disclosed. A camera produces image data of light from electron beams that is projected at a fiber optics array with multiple targets. An image processing module determines an adjustment to a voltage applied to a relay lens, a field lens, or a multi-pole array based on the image data. The adjustment minimizes at least one of a displacement, a defocus, or an aberration of one of the electron beams. Using a control module, the voltage is applied to the relay lens, the field lens, or the multi-pole array.

IPC Classes  ?

  • H01J 37/10 - Lenses
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

82.

Active learning for defect classifier training

      
Application Number 16424431
Grant Number 10713769
Status In Force
Filing Date 2019-05-28
First Publication Date 2019-12-05
Grant Date 2020-07-14
Owner KLA-Tencor Corp. (USA)
Inventor
  • Zhang, Jing
  • Dong, Yujie
  • Duffy, Brian
  • Wallingford, Richard
  • Daino, Michael
  • Bhaskar, Kris

Abstract

Methods and systems for performing active learning for defect classifiers are provided. One system includes one or more computer subsystems configured for performing active learning for training a defect classifier. The active learning includes applying an acquisition function to data points for the specimen. The acquisition function selects one or more of the data points based on uncertainty estimations associated with the data points. The active learning also includes acquiring labels for the selected one or more data points and generating a set of labeled data that includes the selected one or more data points and the acquired labels. The computer subsystem(s) are also configured for training the defect classifier using the set of labeled data. The defect classifier is configured for classifying defects detected on the specimen using the images generated by the imaging subsystem.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06N 3/08 - Learning methods
  • G06K 9/62 - Methods or arrangements for recognition using electronic means
  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G06N 7/00 - Computing arrangements based on specific mathematical models
  • G06N 20/00 - Machine learning
  • G06F 17/18 - Complex mathematical operations for evaluating statistical data

83.

System and method for pumping laser sustained plasma with a frequency converted illumination source

      
Application Number 16051559
Grant Number 10568195
Status In Force
Filing Date 2018-08-01
First Publication Date 2019-12-05
Grant Date 2020-02-18
Owner KLA-Tencor Corporation (USA)
Inventor
  • Bezel, Ilya
  • Shchemelinin, Anatoly
  • Derstine, Matthew

Abstract

A system for generating pump illumination for laser sustained plasma (LSP) is disclosed. In embodiments, the system includes an illumination source configured to output illumination having a first spectral frequency and an optical frequency converter. The optical frequency converter can be configured to receive the illumination having the first spectral frequency from the illumination source and configured to output pump illumination having a second spectral frequency that is different from the first spectral frequency.

IPC Classes  ?

  • H05H 1/02 - Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
  • H01S 3/00 - Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
  • H01S 3/16 - Solid materials
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G02F 1/37 - Non-linear optics for second-harmonic generation
  • H01S 3/11 - Mode locking; Q-switching; Other giant-pulse techniques, e.g. cavity dumping
  • G02F 1/35 - Non-linear optics
  • H01S 3/06 - Construction or shape of active medium
  • H01S 3/067 - Fibre lasers

84.

Space charge insensitive electron gun designs

      
Application Number 16100812
Grant Number 11302510
Status In Force
Filing Date 2018-08-10
First Publication Date 2019-12-05
Grant Date 2022-04-12
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Sears, Christopher
  • Grella, Luca

Abstract

Electron gun systems with a particular inner width dimension, sweep electrodes, or a combination of a particular inner width dimension and sweep electrodes are disclosed. The inner width dimension may be less than twice a value of a Larmor radius of secondary electrons in a channel downstream of a beam limiting aperture, and a Larmor time for the secondary electrons may be greater than 1 ns. The sweep electrode can generates an electric field in a drift region, which can increase kinetic energy of secondary electrons in the channel.

IPC Classes  ?

  • H01J 37/063 - Geometrical arrangement of electrodes for beam-forming
  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/24 - Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/065 - Construction of guns or parts thereof

85.

Nanocrystal-based light source for sample characterization

      
Application Number 15861460
Grant Number 10495287
Status In Force
Filing Date 2018-01-03
First Publication Date 2019-12-03
Grant Date 2019-12-03
Owner KLA-Tencor Corporation (USA)
Inventor
  • Bezel, Ilya
  • Wilson, Lauren
  • Wittenberg, Joshua
  • Derstine, Matthew

Abstract

A broadband illumination source is disclosed. The broadband illumination source may include a pump source configured to generate pump illumination. The broadband illumination also includes an active medium containing nanocrystals. The broadband illumination source includes pump illumination optics configured to direct pump illumination into the active medium. The active medium is configured to emit broadband illumination by down-converting a portion of the pump illumination via photoluminescence.

IPC Classes  ?

  • F21V 9/32 - Elements containing photoluminescent material distinct from or spaced from the light source characterised by the arrangement of the photoluminescent material
  • F21V 9/38 - Combination of two or more photoluminescent elements of different materials
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

86.

Target selection improvements for better design alignment

      
Application Number 16117383
Grant Number 11195268
Status In Force
Filing Date 2018-08-30
First Publication Date 2019-11-28
Grant Date 2021-12-07
Owner KLA-Tencor Corporation (USA)
Inventor
  • Kumar, Santosh
  • Perali, Pavan Kumar

Abstract

Techniques and systems to achieve more accurate design alignment to an image by improved pixel-to-design alignment (PDA) target selection are disclosed. PDA targets in an image frame of a die can be biased to include a hotspot location in one of the PDA targets. The PDA targets can be evaluated for repetitive patterns by analyzing the uniqueness of the points used as the PDA targets.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or

87.

Performance monitoring of design-based alignment

      
Application Number 16400756
Grant Number 10698325
Status In Force
Filing Date 2019-05-01
First Publication Date 2019-11-28
Grant Date 2020-06-30
Owner KLA-Tencor Corporation (USA)
Inventor Brauer, Bjorn

Abstract

Alignment can be monitored by positioning at least one alignment verification location per alignment frame. The alignment verification location is a coordinate within the alignment frame. A distance between each of the alignment verification locations and a closest instance of an alignment target is determined. An alignment score can be determined based on the distance. The alignment score can include a number of the alignment frames between the alignment verification location and the alignment target. If the alignment score is below a threshold, then alignment setup can be performed.

IPC Classes  ?

  • G06F 9/00 - Arrangements for program control, e.g. control units
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G01N 21/93 - Detection standards; Calibrating
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/66 - Testing or measuring during manufacture or treatment

88.

Reflection-mode electron-beam inspection using ptychographic imaging

      
Application Number 16412505
Grant Number 10755892
Status In Force
Filing Date 2019-05-15
First Publication Date 2019-11-28
Grant Date 2020-08-25
Owner KLA-Tencor Corporation (USA)
Inventor Huang, Weijie

Abstract

A particle-beam inspection system may include a reflective particle-beam imaging system providing an image of a selected portion of a sample and a diffraction pattern of the selected portion of the sample and a controller communicatively coupled to the reflective particle-beam imaging system. The controller may receive two or more sample-plane images from the reflective particle-beam imaging system associated with two or more selected portions of the sample, where at least some of the two or more selected portions of the sample overlap. The controller may further receive two or more diffraction-plane images from the reflective particle-beam imaging system associated with the two or more selected portions of the sample. The controller may further construct one or more output images of the two or more selected portions of the sample from the two or more diffraction-plane images using phase information obtained from the two or more sample-plane images.

IPC Classes  ?

  • H01J 37/29 - Reflection microscopes
  • G06T 11/00 - 2D [Two Dimensional] image generation
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • G06T 3/40 - Scaling of a whole image or part thereof

89.

Metal protective layer for electron emitters with a diffusion barrier

      
Application Number 16419184
Grant Number 10714294
Status In Force
Filing Date 2019-05-22
First Publication Date 2019-11-28
Grant Date 2020-07-14
Owner KLA-Tencor Corporation (USA)
Inventor
  • Hill, Frances
  • Delgado, Gildardo R.
  • Garcia, Rudy F.
  • Lopez Lopez, Gary V.
  • Romero, Michael E.
  • Ioakeimidi, Katerina
  • Marks, Zefram

Abstract

An emitter with a diameter of 100 nm or less is used with a protective cap layer and a diffusion barrier between the emitter and the protective cap layer. The protective cap layer is disposed on the exterior surface of the emitter. The protective cap layer includes molybdenum or iridium. The emitter can generate an electron beam. The emitter can be pulsed.

IPC Classes  ?

  • H01J 1/16 - Cathodes heated directly by an electric current characterised by the shape
  • H01J 1/304 - Field-emissive cathodes
  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources

90.

Phase filter for enhanced defect detection in multilayer structure

      
Application Number 16100818
Grant Number 10615067
Status In Force
Filing Date 2018-08-10
First Publication Date 2019-11-21
Grant Date 2020-04-07
Owner KLA-Tencor Corporation (USA)
Inventor
  • Danen, Robert M.
  • Starodub, Dmitri G.

Abstract

Disclosed are methods and apparatus for facilitating defect detection in a multilayer stack. The method includes selection of a set of structure parameters for modeling a particular multilayer stack and a particular defect contained within such particular multilayer stack and a set of operating parameters for an optical inspection system. Based on the set of structure and operating parameters, an electromagnetic simulation is performed of waves scattered from the particular multilayer stack and defect and arriving at a collection pupil of the optical inspection system. Based on the simulated waves at the collection pupil, a design of a phase filter having a plurality of positions for changing a plurality of phases within a plurality of corresponding positions of the collection pupil of the optical inspection tool is determined so as to compensate for an adverse effect of the particular multilayer stack on obtaining a defect signal for the defect within such particular multilayer stack and/or to enhance such defect signal. The design of the phase filter is then provided for fabrication or configuration of a phase filter inserted within the optical inspection system for detection of defects in multilayer stacks with the same structure parameters as the particular multilayer stack. Methods and systems for inspecting a multilayer stack for defects are also disclosed.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,
  • G06F 17/50 - Computer-aided design
  • H01L 27/11556 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
  • H01L 27/11582 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

91.

Capture of repeater defects on a semiconductor wafer

      
Application Number 16101553
Grant Number 10557802
Status In Force
Filing Date 2018-08-13
First Publication Date 2019-11-14
Grant Date 2020-02-11
Owner KLA-Tencor Corporation (USA)
Inventor
  • Brauer, Bjorn
  • Lee, Hucheng

Abstract

Repeater analysis at a first threshold identifies repeater defects. The repeater defects are located at a coordinate that is the same on each reticle. Images on every reticle of the semiconductor wafer at the coordinate are received, and a plurality of signed difference images are obtained. A repeater threshold for signed difference images is calculated, as is consistency of the polarity. The threshold is applied to the images and a number of defects per each repeater that remain are determined. A secondary repeater threshold can be applied for nuisance filtering.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination

92.

Creating defect samples for array regions

      
Application Number 16046986
Grant Number 10620134
Status In Force
Filing Date 2018-07-26
First Publication Date 2019-11-14
Grant Date 2020-04-14
Owner KLA-Tencor Corp. (USA)
Inventor
  • Anantha, Vidyasagar
  • Mariyappan, Manikandan
  • Babulnath, Raghav
  • Sivaraman, Gangadharan
  • Kurada, Satya
  • Jayaraman, Thirupurasundari
  • Uppaluri, Prasanti
  • Kandukuri, Srikanth

Abstract

Methods and systems for creating a sample of defects for a specimen are provided. One method includes detecting defects on a specimen based on output generated by a detector of an output acquisition subsystem. For the defects detected in an array region on the specimen, where the array region includes multiple array cell types, the method includes stacking information for the defects based on the multiple array cell types. The stacking includes overlaying design information for only a first of the multiple array cell types with the information for only the defects detected in the first of the multiple array cell types. In addition, the method includes selecting a portion of the detected defects based on results of the stacking thereby creating a sample of the detected defects.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination

93.

Imaging-based height measurement based on known geometric information

      
Application Number 15861894
Grant Number 10473454
Status In Force
Filing Date 2018-01-04
First Publication Date 2019-11-12
Grant Date 2019-11-12
Owner KLA-Tencor Corporation (USA)
Inventor Ding, Yiwu

Abstract

A height mapping system includes a controller configured to generate a height map of a region of interest of a sample with a first optical metrology system having a first numerical aperture, receive images of features having known three-dimensional shapes at selected image plane locations from a second optical metrology system having a second numerical aperture larger than the first numerical aperture, calculate distances between the imaging plane locations and peaks of the features based on in-focus portions of the images and the known three-dimensional shapes, determine distances between the imaging plane locations and a surface of the sample for the features based on the height map, and determine heights of the features by combining the distances between the imaging plane locations and peaks of the features with the distances between the imaging plane locations and the surface of the sample for the one or more features.

IPC Classes  ?

  • G06K 9/00 - Methods or arrangements for reading or recognising printed or written characters or for recognising patterns, e.g. fingerprints
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • G06T 7/55 - Depth or shape recovery from multiple images

94.

System, method and computer program product for inspecting a wafer using a film thickness map generated for the wafer

      
Application Number 15419867
Grant Number 10475178
Status In Force
Filing Date 2017-01-30
First Publication Date 2019-11-12
Grant Date 2019-11-12
Owner KLA-TENCOR CORPORATION (USA)
Inventor Rouse, Gordon Charles

Abstract

A system, method, and computer program product are provided for inspecting a wafer using a film thickness map generated for the wafer. In use, a plurality of locations on a wafer are determined from a design of the wafer. Additionally, a measured brightness at each of the determined locations on the wafer is obtained. Further, a film thickness map for the wafer is generated from the obtained measurements. The wafer is then inspected using the film thickness map.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness

95.

Model-based metrology using images

      
Application Number 16454531
Grant Number 11200658
Status In Force
Filing Date 2019-06-27
First Publication Date 2019-10-24
Grant Date 2021-12-14
Owner KLA-Tencor Corporation (USA)
Inventor Pandev, Stilian Ivanov

Abstract

Methods and systems for combining information present in measured images of semiconductor wafers with additional measurements of particular structures within the measured images are presented herein. In one aspect, an image-based signal response metrology (SRM) model is trained based on measured images and corresponding reference measurements of particular structures within each image. The trained, image-based SRM model is then used to calculate values of one or more parameters of interest directly from measured image data collected from other wafers. In another aspect, a measurement signal synthesis model is trained based on measured images and corresponding measurement signals generated by measurements of particular structures within each image by a non-imaging measurement technique. Images collected from other wafers are transformed into synthetic measurement signals associated with the non-imaging measurement technique and a model-based measurement is employed to estimate values of parameters of interest based on the synthetic signals.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G03F 7/20 - Exposure; Apparatus therefor
  • H04N 5/225 - Television cameras
  • H04N 17/00 - Diagnosis, testing or measuring for television systems or their details
  • G06K 9/52 - Extraction of features or characteristics of the image by deriving mathematical or geometrical properties from the whole image
  • G06K 9/62 - Methods or arrangements for recognition using electronic means

96.

Shape metric based scoring of wafer locations

      
Application Number 16375851
Grant Number 10714366
Status In Force
Filing Date 2019-04-04
First Publication Date 2019-10-17
Grant Date 2020-07-14
Owner KLA-Tencor Corp. (USA)
Inventor
  • Banerjee, Saibal
  • Saraswatula, Jagdish Chandra

Abstract

Methods and systems for shape metric based scoring of wafer locations are provided. One method includes selecting shape based grouping (SBG) rules for at least two locations on a wafer. For one of the wafer locations, the selecting step includes modifying distances between geometric primitives in a design for the wafer with metrology data for the one location and determining metrical complexity (MC) scores for SBG rules associated with the geometric primitives in a field of view centered on the one location based on the distances. The selecting step also includes selecting one of the SBG rules for the one location based on the MC scores. The method also includes sorting the at least two locations on the wafer based on the SBG rule selected for the at least two locations.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

97.

Multiple column per channel CCD sensor architecture for inspection and metrology

      
Application Number 16439297
Grant Number 10778925
Status In Force
Filing Date 2019-06-12
First Publication Date 2019-10-10
Grant Date 2020-09-15
Owner KLA-Tencor Corporation (USA)
Inventor
  • Chuang, Yung-Ho Alex
  • Zhang, Jingjing
  • Zamek, Sharon
  • Fielden, John
  • Contarato, Devis
  • Brown, David L.

Abstract

A multiple-column-per-channel image CCD sensor utilizes a multiple-column-per-channel readout circuit including connected transfer gates that alternately transfer pixel data (charges) from a group of adjacent pixel columns to a shared output circuit at high speed with low noise. Charges transferred along the adjacent pixel columns at a line clock rate are alternately passed by the transfer gates to a summing gate that is operated at multiple times the line clock rate to pass the image charges to the shared output circuit. A symmetrical fork-shaped diffusion is utilized in one embodiment to merge the image charges from the group of related pixel columns. A method of driving the multiple-column-per-channel CCD sensor with line clock synchronization is also described. A method of inspecting a sample using the multiple-column-per-channel CCD sensor is also described.

IPC Classes  ?

  • H04N 5/378 - Readout circuits, e.g. correlated double sampling [CDS] circuits, output amplifiers or A/D converters
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H04N 5/361 - Noise processing, e.g. detecting, correcting, reducing or removing noise applied to dark current
  • H04N 5/372 - Charge-coupled device [CCD] sensors; Time delay and integration [TDI] registers or shift registers specially adapted for SSIS
  • H01L 27/148 - Charge coupled imagers
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 7/00 - Image analysis

98.

Determining critical parameters using a high-dimensional variable selection model

      
Application Number 16449400
Grant Number 11456194
Status In Force
Filing Date 2019-06-23
First Publication Date 2019-10-10
Grant Date 2022-09-27
Owner KLA-TENCOR CORPORATION (USA)
Inventor
  • Chang, Wei
  • Gutierrez, Joseph
  • Rao, Krishna

Abstract

A high-dimensional variable selection unit determines a list of critical parameters from sensor data and parametric tool measurements from a semiconductor manufacturing tool, such as a semiconductor inspection tool or other types of semiconductor manufacturing tools. The high-dimensional variable selection model can be, for example, elastic net, forward-stagewise regression, or least angle regression. The list of critical parameters may be used to design a next generation semiconductor manufacturing tool, to bring the semiconductor manufacturing tool back to a normal status, to match a semiconductor manufacturing tool's results with that of another semiconductor manufacturing tool, or to develop a specification for the semiconductor manufacturing tool.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)
  • G06N 7/00 - Computing arrangements based on specific mathematical models

99.

Localized telecentricity and focus optimization for overlay metrology

      
Application Number 15948941
Grant Number 10677588
Status In Force
Filing Date 2018-04-09
First Publication Date 2019-10-10
Grant Date 2020-06-09
Owner KLA-Tencor Corporation (USA)
Inventor
  • Hill, Andrew V.
  • Bachar, Ohad
  • Abramov, Avi
  • Paskover, Yuri
  • Perry, Dor

Abstract

An overlay metrology tool providing site-by-site alignment includes a controller coupled to a telecentric imaging system. The controller may receive two or more alignment images of an overlay target on a sample captured at two or more focal positions by the imaging system, generate alignment data indicative of an alignment of the overlay target within the imaging system based on the alignment images, set the alignment images as measurement images when the alignment of the overlay target is within selected alignment tolerances, direct the imaging system to adjust the alignment of the overlay target in the imaging system and further receive one or more measurement images from the imaging system when the alignment of the overlay target is outside the selected alignment tolerances, and determine overlay between two or more layers of the sample based on at least one of the measurement images.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods
  • H04N 5/232 - Devices for controlling television cameras, e.g. remote control
  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods

100.

Array-based characterization tool

      
Application Number 15969555
Grant Number 10438769
Status In Force
Filing Date 2018-05-02
First Publication Date 2019-10-08
Grant Date 2019-10-08
Owner KLA-Tencor Corporation (USA)
Inventor
  • Lipkind, Alex
  • Rosenthal, Alon
  • Chilese, Frank
  • Gerling, John
  • Muray, Lawrence
  • Haynes, Robert

Abstract

A scanning electron microscopy (SEM) system includes a plurality of electron beam sources configured to generate a primary electron beam. The SEM system includes an electron-optical column array with a plurality of electron-optical columns. An electron-optical column includes a plurality of electron-optical elements. The plurality of electron-optical elements includes a deflector layer configured to be driven via a common controller shared by at least some of the plurality of electron-optical columns and includes a trim deflector layer configured to be driven by an individual controller. The plurality of electron-optical elements is arranged to form an electron beam channel configured to direct the primary electron beam to a sample secured on a stage, which emits an electron beam in response to the primary electron beam. The electron-optical column includes an electron detector. The electron beam channel is configured to direct the electron beam to the electron detector.

IPC Classes  ?

  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • G21K 5/04 - Irradiation devices with beam-forming means
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  1     2     3     ...     15        Next Page