KLA-Tencor Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 2 586 pour KLA-Tencor Corporation et 2 filiales Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 2 582
        Marque 4
Juridiction
        États-Unis 1 465
        International 1 117
        Canada 4
Propriétaire / Filiale
[Owner] KLA-Tencor Corporation 2 575
KLA-Tencor MIE GmbH 7
ICOS Vision Systems NV 4
Date
2023 2
2022 6
2021 17
2020 64
2019 283
Voir plus
Classe IPC
H01L 21/66 - Test ou mesure durant la fabrication ou le traitement 735
G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser 416
G03F 7/20 - Exposition; Appareillages à cet effet 353
G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures 284
G06T 7/00 - Analyse d'image 262
Voir plus
Classe NICE
09 - Appareils et instruments scientifiques et électriques 4
42 - Services scientifiques, technologiques et industriels, recherche et conception 1
Statut
En Instance 9
Enregistré / En vigueur 2 577
  1     2     3     ...     26        Prochaine page

1.

REDUCTION OR ELIMINATION OF PATTERN PLACEMENT ERROR IN METROLOGY MEASUREMENTS

      
Numéro d'application 18076375
Statut En instance
Date de dépôt 2022-12-06
Date de la première publication 2023-03-30
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Feler, Yoel
  • Levinski, Vladimir
  • Gronheid, Roel
  • Aharon, Sharon
  • Gurevich, Evgeni
  • Golotsvan, Anna
  • Ghinovker, Mark

Abrégé

Metrology methods and targets are provided for reducing or eliminating a difference between a device pattern position and a target pattern position while maintaining target printability, process compatibility and optical contrast—in both imaging and scatterometry metrology. Pattern placement discrepancies may be reduced by using sub-resolved assist features in the mask design which have a same periodicity (fine pitch) as the periodic structure and/or by calibrating the measurement results using PPE (pattern placement error) correction factors derived by applying learning procedures to specific calibration terms, in measurements and/or simulations. Metrology targets are disclosed with multiple periodic structures at the same layer (in addition to regular target structures), e.g., in one or two layers, which are used to calibrate and remove PPE, especially when related to asymmetric effects such as scanner aberrations, off-axis illumination and other error sources.

Classes IPC  ?

  • G03F 1/84 - Inspection
  • G03F 1/26 - Masques à décalage de phase [PSM phase shift mask]; Substrats pour PSM; Leur préparation
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G06F 30/39 - Conception de circuits au niveau physique
  • G03F 1/44 - Aspects liés au test ou à la mesure, p.ex. motifs de grille, contrôleurs de focus, échelles en dents de scie ou échelles à encoches
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette

2.

3D Microscope Including Insertable Components To Provide Multiple Imaging And Measurement Capabilities

      
Numéro d'application 18070389
Statut En instance
Date de dépôt 2022-11-28
Date de la première publication 2023-03-23
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Xu, James Jianguo
  • Lee, Ken Kinsun
  • Kudinar, Rusmin
  • Soetarman, Ronny
  • Nguyen, Hung Phi
  • Hou, Zhen

Abrégé

A three-dimensional (3D) microscope includes various insertable components that facilitate multiple imaging and measurement capabilities. These capabilities include Nomarski imaging, polarized light imaging, quantitative differential interference contrast (q-DIC) imaging, motorized polarized light imaging, phase-shifting interferometry (PSI), and vertical-scanning interferometry (VSI).

Classes IPC  ?

  • G02B 21/06 - Moyens pour éclairer un échantillon
  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes aménagés pour la photographie ou la projection

3.

METHOD FOR MEASURING AND CORRECTING MISREGISTRATION BETWEEN LAYERS IN A SEMICONDUCTOR DEVICE, AND MISREGISTRATION TARGETS USEFUL THEREIN

      
Numéro d'application 17688856
Statut En instance
Date de dépôt 2022-03-07
Date de la première publication 2022-06-23
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Milo, Renan
  • Yerushalmi, Liran
  • Zaberchik, Moran
  • Feler, Yoel
  • Izraeli, David

Abrégé

A method for measurement of misregistration in the manufacture of semiconductor device wafers, the method including measuring misregistration between layers of a semiconductor device wafer at a first instance and providing a first misregistration indication, measuring misregistration between layers of a semiconductor device wafer at a second instance and providing a second misregistration indication, providing a misregistration measurement difference output in response to a difference between the first misregistration indication and the second misregistration indication, providing a baseline difference output and ameliorating the difference between the misregistration measurement difference output and the baseline difference output.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)

4.

Device-like metrology targets

      
Numéro d'application 17689934
Numéro de brevet 11709433
Statut Délivré - en vigueur
Date de dépôt 2022-03-08
Date de la première publication 2022-06-23
Date d'octroi 2023-07-25
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Levinski, Vladimir
  • Manassen, Amnon
  • Amit, Eran
  • Amir, Nuriel
  • Yerushalmi, Liran
  • Shaked, Amit

Abrégé

Metrology targets, production processes and optical systems are provided, which enable metrology of device-like targets. Supplementary structure(s) may be introduced in the target to interact optically with the bottom layer and/or with the top layer of the target and target cells configurations enable deriving measurements of device-characteristic features. For example, supplementary structure(s) may be designed to yield Moiré patterns with one or both layers, and metrology parameters may be derived from these patterns. Device production processes were adapted to enable production of corresponding targets, which may be measured by standard or by provided modified optical systems, configured to enable phase measurements of the Moiré patterns.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

5.

Process control metrology

      
Numéro d'application 15587297
Numéro de brevet 11313809
Statut Délivré - en vigueur
Date de dépôt 2017-05-04
Date de la première publication 2022-04-26
Date d'octroi 2022-04-26
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Pandev, Stilian Ivanov
  • Lu, Wei

Abrégé

Methods and systems for estimating values of process parameters based on measurements of structures fabricated on a product wafer are presented herein. Exemplary process parameters include lithography dosage and exposure and lithography scanner aberrations. A measurement model is employed to estimate process parameter values from measurements of structures fabricated on a wafer by a particular fabrication process. The measurement model includes process parameters and geometric parameters of structures under measurement. In some embodiments, a model based regression of both a process model and a metrology model is employed to arrive at estimates of at least one process parameter value based on measurements of a fabricated structure. In some embodiments, a trained measurement model is employed to directly estimate process parameter values based on measurements of structures. The measurement model is trained based on simulated measurement signals associated with measurements of shape profiles generated by different sets of process parameter values.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

6.

Machine Learning in Metrology Measurements

      
Numéro d'application 17554454
Statut En instance
Date de dépôt 2021-12-17
Date de la première publication 2022-04-07
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Amit, Eran

Abrégé

Metrology methods and targets are provided, that expand metrological procedures beyond current technologies into multi-layered targets, quasi-periodic targets and device-like targets, without having to introduce offsets along the critical direction of the device design. Machine learning algorithm application to measurements and/or simulations of metrology measurements of metrology targets are disclosed for deriving metrology data such as overlays from multi-layered target and corresponding configurations of targets are provided to enable such measurements. Quasi-periodic targets which are based on device patterns are shown to improve the similarity between target and device designs. Offsets are introduced only in non-critical direction and/or sensitivity is calibrated to enable, together with the solutions for multi-layer measurements and quasi-periodic target measurements, direct device optical metrology measurements.

Classes IPC  ?

  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G06N 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe

7.

INSPECTION OF RETICLES USING MACHINE LEARNING

      
Numéro d'application 17456415
Statut En instance
Date de dépôt 2021-11-24
Date de la première publication 2022-03-17
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Fang, Hawren
  • Sezginer, Abdurrahman
  • Shi, Rui-Fang

Abrégé

Disclosed are methods and apparatus for inspecting a photolithographic reticle. A plurality of reference far field images are simulated by inputting a plurality of reference near field images into a physics-based model, and the plurality of reference near field images are generated by a trained deep learning model from a test portion of the design database that was used to fabricate a test area of a test reticle. The test area of a test reticle, which was fabricated from the design database, is inspected for defects via a die-to-database process that includes comparing the plurality of reference far field reticle images simulated by the physic-based model to a plurality of test images acquired by the inspection system from the test area of the test reticle.

Classes IPC  ?

8.

Cross layer common-unique analysis for nuisance filtering

      
Numéro d'application 17486213
Numéro de brevet 11694327
Statut Délivré - en vigueur
Date de dépôt 2021-09-27
Date de la première publication 2022-01-13
Date d'octroi 2023-07-04
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Brauer, Bjorn

Abrégé

Common events between layers on a semiconductor wafer are filtered. Common events should contain the majority of defects of interest. Only nuisance events that are common between layers on the semiconductor wafer remain, which reduces the nuisance rate. Defects that are common across layers can be filtered based on, for example, defect coordinates, a difference image, or defect attributes.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G06T 7/10 - Découpage; Détection de bords
  • G06T 7/254 - Analyse du mouvement impliquant de la soustraction d’images

9.

Process monitoring of deep structures with X-ray scatterometry

      
Numéro d'application 17468436
Numéro de brevet 11955391
Statut Délivré - en vigueur
Date de dépôt 2021-09-07
Date de la première publication 2021-12-30
Date d'octroi 2024-04-09
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Gellineau, Antonio Arion
  • Dziura, Thaddeus Gerard

Abrégé

Methods and systems for estimating values of process parameters, structural parameters, or both, based on x-ray scatterometry measurements of high aspect ratio semiconductor structures are presented herein. X-ray scatterometry measurements are performed at one or more steps of a fabrication process flow. The measurements are performed quickly and with sufficient accuracy to enable yield improvement of an on-going semiconductor fabrication process flow. Process corrections are determined based on the measured values of parameters of interest and the corrections are communicated to the process tool to change one or more process control parameters of the process tool. In some examples, measurements are performed while the wafer is being processed to control the on-going fabrication process step. In some examples, X-ray scatterometry measurements are performed after a particular process step and process control parameters are updated for processing of future devices.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 23/20 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

10.

Topographic Phase Control For Overlay Measurement

      
Numéro d'application 17241006
Statut En instance
Date de dépôt 2021-04-26
Date de la première publication 2021-08-19
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Levinski, Vladimir
  • Paskover, Yuri
  • Manassen, Amnon
  • Shalibo, Yoni

Abrégé

Metrology tools and methods are provided, which estimate the effect of topographic phases corresponding to different diffraction orders, which result from light scattering on periodic targets, and adjust the measurement conditions to improve measurement accuracy. In imaging, overlay error magnification may be reduced by choosing appropriate measurement conditions based on analysis of contrast function behavior, changing illumination conditions (reducing spectrum width and illumination NA), using polarizing targets and/or optical systems, using multiple defocusing positions etc. On-the-fly calibration of measurement results may be carried out in imaging or scatterometry using additional measurements or additional target cells.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H04N 5/232 - Dispositifs pour la commande des caméras de télévision, p.ex. commande à distance
  • G06T 7/80 - Analyse des images capturées pour déterminer les paramètres de caméra intrinsèques ou extrinsèques, c. à d. étalonnage de caméra
  • G02B 7/38 - Systèmes pour la génération automatique de signaux de mise au point utilisant des techniques liées à la netteté de l'image mesurée en différents points de l'axe optique
  • G02B 27/32 - Repères fiduciels ou échelles de mesure à l'intérieur du système optique

11.

Vacuum hold-down apparatus for flattening bowed semiconductor wafers

      
Numéro d'application 16340634
Numéro de brevet 11430687
Statut Délivré - en vigueur
Date de dépôt 2019-03-25
Date de la première publication 2021-07-15
Date d'octroi 2022-08-30
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Hildesheim, Ariel
  • Angel, Ofer

Abrégé

A vacuum hold-down apparatus retains a wafer in a desired position and orientation. A vacuum chuck assembly of the vacuum hold-down apparatus has a vacuum chuck surface with a vacuum communication aperture. A venturi vacuum generator is fixed with respect to the vacuum chuck assembly and communicates with the vacuum chuck surface via the vacuum communication aperture. A positive pressure fluid line communicates with the venturi vacuum generator.

Classes IPC  ?

  • B25B 11/00 - Porte-pièces ou dispositifs de mise en position non couverts par l'un des groupes , p.ex. porte-pièces magnétiques, porte-pièces utilisant le vide
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

12.

Accuracy improvements in optical metrology

      
Numéro d'application 17179379
Numéro de brevet 11862522
Statut Délivré - en vigueur
Date de dépôt 2021-02-18
Date de la première publication 2021-06-10
Date d'octroi 2024-01-02
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Bringoltz, Barak
  • Gurevich, Evgeni
  • Adam, Ido
  • Feler, Yoel
  • Alumot, Dror
  • Lamhot, Yuval
  • Sella, Noga
  • De Leeuw, Yaron
  • Yaziv, Tal
  • Ashwal-Island, Eltsafon
  • Saltoun, Lilach
  • Leviant, Tom

Abrégé

Methods, metrology modules and target designs are provided, which improve the accuracy of metrology measurements. Methods provide flexible handling of multiple measurement recipes and setups and enable relating them to landscape features that indicate their relation to resonance regions and to flat regions. Clustering of recipes, self-consistency tests, common processing of aggregated measurements, noise reduction, cluster analysis, detailed analysis of the landscape and targets with skewed cells are employed separately or in combination to provide cumulative improvements of measurement accuracy.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

13.

Measurement of overlay error using device inspection system

      
Numéro d'application 17163904
Numéro de brevet 11784097
Statut Délivré - en vigueur
Date de dépôt 2021-02-01
Date de la première publication 2021-05-27
Date d'octroi 2023-10-10
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Hoo, Choon Hoong
  • Ji, Fangren
  • Manassen, Amnon
  • Yerushalmi, Liran
  • Mani, Antonio
  • Park, Allen
  • Pandev, Stilian
  • Shchegrov, Andrei
  • Madsen, Jon

Abrégé

A method and system for measuring overlay in a semiconductor manufacturing process comprise capturing an image of a feature in an article at a predetermined manufacturing stage, deriving a quantity of an image parameter from the image and converting the quantity into an overlay measurement. The conversion is by reference to an image parameter quantity derived from a reference image of a feature at the same predetermined manufacturing stage with known overlay (“OVL”). There is also disclosed a method of determining a device inspection recipe for use by an inspection tool comprising identifying device patterns as candidate device care areas that may be sensitive to OVL, deriving an OVL response for each identified pattern, correlating the OVL response with measured OVL, and selecting some or all of the device patterns as device care areas based on the correlation.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G06T 7/00 - Analyse d'image
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G06F 30/39 - Conception de circuits au niveau physique
  • G06F 119/18 - Analyse de fabricabilité ou optimisation de fabricabilité

14.

Method of measuring misregistration of semiconductor devices

      
Numéro d'application 17161035
Numéro de brevet 11226566
Statut Délivré - en vigueur
Date de dépôt 2021-01-28
Date de la première publication 2021-05-20
Date d'octroi 2022-01-18
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Volkovich, Roie
  • Dolev, Ido

Abrégé

A method of measuring misregistration in the manufacture of semiconductor devices including providing a multilayered semiconductor device, using a scatterometry metrology tool to perform misregistration measurements at multiple sites on the multilayered semiconductor device, receiving raw misregistration data for each of the misregistration measurements, thereafter providing filtered misregistration data by removing outlying raw misregistration data points from the raw misregistration data for each of the misregistration measurements, using the filtered misregistration data to model misregistration for the multilayered semiconductor device, calculating correctables from the modeled misregistration for the multilayered semiconductor device, providing the correctables to the scatterometry metrology tool, thereafter recalibrating the scatterometry metrology tool based on the correctables and measuring misregistration using the scatterometry metrology tool following the recalibration.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test

15.

Reduction or elimination of pattern placement error in metrology measurements

      
Numéro d'application 17161645
Numéro de brevet 11537043
Statut Délivré - en vigueur
Date de dépôt 2021-01-28
Date de la première publication 2021-05-20
Date d'octroi 2022-12-27
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Feler, Yoel
  • Levinski, Vladimir
  • Gronheid, Roel
  • Aharon, Sharon
  • Gurevich, Evgeni
  • Golotsvan, Anna
  • Ghinovker, Mark

Abrégé

Metrology methods and targets are provided for reducing or eliminating a difference between a device pattern position and a target pattern position while maintaining target printability, process compatibility and optical contrast—in both imaging and scatterometry metrology. Pattern placement discrepancies may be reduced by using sub-resolved assist features in the mask design which have a same periodicity (fine pitch) as the periodic structure and/or by calibrating the measurement results using PPE (pattern placement error) correction factors derived by applying learning procedures to specific calibration terms, in measurements and/or simulations. Metrology targets are disclosed with multiple periodic structures at the same layer (in addition to regular target structures), e.g., in one or two layers, which are used to calibrate and remove PPE, especially when related to asymmetric effects such as scanner aberrations, off-axis illumination and other error sources.

Classes IPC  ?

  • G03F 1/84 - Inspection
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G03F 1/44 - Aspects liés au test ou à la mesure, p.ex. motifs de grille, contrôleurs de focus, échelles en dents de scie ou échelles à encoches
  • G06F 30/39 - Conception de circuits au niveau physique
  • G03F 1/26 - Masques à décalage de phase [PSM phase shift mask]; Substrats pour PSM; Leur préparation

16.

Reflective pupil relay system

      
Numéro d'application 14504234
Numéro de brevet 10976249
Statut Délivré - en vigueur
Date de dépôt 2014-10-01
Date de la première publication 2021-04-13
Date d'octroi 2021-04-13
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Hill, Andrew
  • Brady, Gregory

Abrégé

Methods and systems for relaying an optical image using a cascade arrangement of tilted, concave mirrors are presented. An exemplary optical relay system includes a cascade arrangement of four mirrors each having concave, spherical surface figures. The first and third mirrors are configured to focus collimated wavefronts and the second and fourth mirrors re-collimate diverging wavefronts reflected from the first and third mirrors. Each mirror is tilted such that wavefronts located in the local field plane and local pupil plane of each mirror are physically separated. The magnitude and direction of each tilt angle are arranged such that off-axis aberrations introduced by each individual mirrors are largely compensated by the other mirrors. Such an optical relay system is employed to relay images of the pupil plane of a metrology system that is configured to perform accurate measurements of semiconductor structures and materials over a broad range of illumination wavelengths.

Classes IPC  ?

17.

Transmission small-angle X-ray scattering metrology system

      
Numéro d'application 17002614
Numéro de brevet 11519719
Statut Délivré - en vigueur
Date de dépôt 2020-08-25
Date de la première publication 2021-03-25
Date d'octroi 2022-12-06
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Shchegrov, Andrei V.
  • Gellineau, Antonio Arion
  • Zalubovsky, Sergey

Abrégé

Methods and systems for characterizing dimensions and material properties of semiconductor devices by transmission small angle x-ray scatterometry (TSAXS) systems having relatively small tool footprint are described herein. The methods and systems described herein enable Q space resolution adequate for metrology of semiconductor structures with reduced optical path length. In general, the x-ray beam is focused closer to the wafer surface for relatively small targets and closer to the detector for relatively large targets. In some embodiments, a high resolution detector with small point spread function (PSF) is employed to mitigate detector PSF limits on achievable Q resolution. In some embodiments, the detector locates an incident photon with sub-pixel accuracy by determining the centroid of a cloud of electrons stimulated by the photon conversion event. In some embodiments, the detector resolves one or more x-ray photon energies in addition to location of incidence.

Classes IPC  ?

  • G01N 23/201 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux en mesurant la diffusion sous un petit angle, p.ex. la diffusion des rayons X sous un petit angle [SAXS]
  • G01B 11/14 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la distance ou la marge entre des objets ou des ouvertures espacés
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01B 11/26 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage
  • G01N 21/21 - Propriétés affectant la polarisation
  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • H05G 2/00 - Appareils ou procédés spécialement adaptés à la production de rayons X, n'utilisant pas de tubes à rayons X, p.ex. utilisant la génération d'un plasma
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01B 15/00 - Dispositions pour la mesure caractérisées par l'utilisation d'ondes électromagnétiques ou de radiations de particules, p.ex. par l'utilisation de micro-ondes, de rayons X, de rayons gamma ou d'électrons
  • G01N 23/20 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux

18.

3D microscope including insertable components to provide multiple imaging and measurement capabilities

      
Numéro d'application 17109528
Numéro de brevet 11536940
Statut Délivré - en vigueur
Date de dépôt 2020-12-02
Date de la première publication 2021-03-25
Date d'octroi 2022-12-27
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Xu, James Jianguo
  • Lee, Ken Kinsun
  • Kudinar, Rusmin
  • Soetarman, Ronny
  • Nguyen, Hung Phi
  • Hou, Zhen

Abrégé

A three-dimensional (3D) microscope includes various insertable components that facilitate multiple imaging and measurement capabilities. These capabilities include Nomarski imaging, polarized light imaging, quantitative differential interference contrast (q-DIC) imaging, motorized polarized light imaging, phase-shifting interferometry (PSI), and vertical-scanning interferometry (VSI).

Classes IPC  ?

  • H04N 7/18 - Systèmes de télévision en circuit fermé [CCTV], c. à d. systèmes dans lesquels le signal vidéo n'est pas diffusé
  • G02B 21/06 - Moyens pour éclairer un échantillon
  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes aménagés pour la photographie ou la projection

19.

Phase filter for enhanced defect detection in multilayer structure

      
Numéro d'application 16802542
Numéro de brevet 10957568
Statut Délivré - en vigueur
Date de dépôt 2020-02-26
Date de la première publication 2021-03-23
Date d'octroi 2021-03-23
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Danen, Robert M.
  • Starodub, Dmitri G

Abrégé

Disclosed are methods and apparatus for facilitating defect detection in a multilayer stack. The method includes selection of a set of structure parameters for modeling a particular multilayer stack and a particular defect contained within such particular multilayer stack and a set of operating parameters for an optical inspection system. Based on the set of structure and operating parameters, an electromagnetic simulation is performed of waves scattered from the particular multilayer stack and defect and arriving at a collection pupil of the optical inspection system. Based on the simulated waves at the collection pupil, a design of a phase filter having a plurality of positions for changing a plurality of phases within a plurality of corresponding positions of the collection pupil of the optical inspection tool is determined so as to compensate for an adverse effect of the particular multilayer stack on obtaining a defect signal for the defect within such particular multilayer stack and/or to enhance such defect signal. The design of the phase filter is then provided for fabrication or configuration of a phase filter inserted within the optical inspection system for detection of defects in multilayer stacks with the same structure parameters as the particular multilayer stack. Methods and systems for inspecting a multilayer stack for defects are also disclosed.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06F 30/23 - Optimisation, vérification ou simulation de l’objet conçu utilisant les méthodes des éléments finis [MEF] ou les méthodes à différences finies [MDF]
  • G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G06F 111/10 - Modélisation numérique
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 27/11582 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. canaux en forme de U
  • H01L 27/11556 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U

20.

3D microscope including insertable components to provide multiple imaging and measurement capabilities

      
Numéro d'application 17109480
Numéro de brevet 11294161
Statut Délivré - en vigueur
Date de dépôt 2020-12-02
Date de la première publication 2021-03-18
Date d'octroi 2022-04-05
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Xu, James Jianguo
  • Lee, Ken Kinsun
  • Kudinar, Rusmin
  • Soetarman, Ronny
  • Nguyen, Hung Phi
  • Hou, Zhen

Abrégé

A three-dimensional (3D) microscope includes various insertable components that facilitate multiple imaging and measurement capabilities. These capabilities include Nomarski imaging, polarized light imaging, quantitative differential interference contrast (q-DIC) imaging, motorized polarized light imaging, phase-shifting interferometry (PSI), and vertical-scanning interferometry (VSI).

Classes IPC  ?

  • H04N 7/18 - Systèmes de télévision en circuit fermé [CCTV], c. à d. systèmes dans lesquels le signal vidéo n'est pas diffusé
  • G02B 21/06 - Moyens pour éclairer un échantillon
  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes aménagés pour la photographie ou la projection

21.

Optical profilometer with color outputs

      
Numéro d'application 16027317
Numéro de brevet 10948284
Statut Délivré - en vigueur
Date de dépôt 2018-07-04
Date de la première publication 2021-03-16
Date d'octroi 2021-03-16
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Chalmers, Scott A.

Abrégé

A system includes a light source configured to selectively output light. An optical objective is configured to couple the output light from the light source to a sample under measurement when present, and direct reflected light from the sample. A controller is configured to automatically control a color of the output light and a vertical position of the optical objective relative to the sample. The color of the light is selected from multiple colors. The vertical position includes a range of vertical positions scanned by the objective. A detector is configured to receive the reflected light and to detect focus, and output data representing a surface profile of the sample. The output data includes color images of the surface profile.

Classes IPC  ?

  • G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes
  • G01B 9/02 - Interféromètres
  • G02B 5/20 - Filtres

22.

Creating and tuning a classifier to capture more defects of interest during inspection

      
Numéro d'application 16188674
Numéro de brevet 10902579
Statut Délivré - en vigueur
Date de dépôt 2018-11-13
Date de la première publication 2021-01-26
Date d'octroi 2021-01-26
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Soltanmohammadi, Erfan
  • Plihal, Martin
  • Ng, Tai-Kam
  • Lee, Sang Hyun

Abrégé

Defects of interest can be captured by a classifier. Images of a semiconductor wafer can be received at a deep learning classification module. These images can be sorted into soft decisions with the deep learning classification module. A class of the defect of interest for an image can be determined from the soft decisions. The deep learning classification module can be in electronic communication with an optical inspection system or other types of semiconductor inspection systems.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G06N 3/08 - Méthodes d'apprentissage
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06K 9/62 - Méthodes ou dispositions pour la reconnaissance utilisant des moyens électroniques

23.

DATA-DRIVEN MISREGISTRATION PARAMETER CONFIGURATION AND MEASUREMENT SYSTEM AND METHOD

      
Numéro d'application US2019041095
Numéro de publication 2021/006890
Statut Délivré - en vigueur
Date de dépôt 2019-07-10
Date de publication 2021-01-14
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Katz, Shlomit
  • Volkovich, Roie
  • Golotsvan, Anna
  • Yohanan, Raviv

Abrégé

A data-driven misregistration parameter configuration and measurement system and method including simulating a plurality of measurement simulations of at least one multilayered semiconductor device, selected from a batch of multilayered semiconductor devices intended to be identical, using a plurality of sets of measurement parameter configurations, thereby generating simulation data for the at least one multilayered semiconductor device, identifying at least one set of recommended measurement parameter configurations, which is selected from the plurality of sets of measurement parameter configurations, providing a multilayered semiconductor device selected from the batch of multilayered semiconductor devices, providing the at least one recommended set of measurement parameter configurations to a misregistration metrology tool having multiple possible sets of measurement parameter configurations, measuring at least one multilayered semiconductor device, selected from the batch of multilayered semiconductor devices intended to be identical, using the at least one recommended set of measurement parameter configurations, thereby generating measurement data for the at least one multilayered semiconductor device, thereafter identifying a final recommended set of measurement parameter configurations and measuring misregistration of at least one multilayered semiconductor device, selected from the batch of multilayered semiconductor devices intended to be identical, using the final recommended set of measurement parameter configurations.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

24.

Data-driven misregistration parameter configuration and measurement system and method

      
Numéro d'application 16619847
Numéro de brevet 11353493
Statut Délivré - en vigueur
Date de dépôt 2019-07-10
Date de la première publication 2021-01-14
Date d'octroi 2022-06-07
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Katz, Shlomit
  • Volkovich, Roie
  • Golotsvan, Anna
  • Yohanan, Raviv

Abrégé

A data-driven misregistration parameter configuration and measurement system and method including simulating a plurality of measurement simulations of at least one multilayered semiconductor device, selected from a batch of multilayered semiconductor devices intended to be identical, using sets of measurement parameter configurations, generating simulation data for the device, identifying recommended measurement parameter configurations selected from sets of measurement parameter configurations, providing a multilayered semiconductor device selected from the batch, providing the at least one recommended set of measurement parameter configurations to a misregistration metrology tool having multiple possible sets of measurement parameter configurations, measuring at least one multilayered semiconductor device, selected from the batch, using the recommended set, thereby generating measurement data for the device, thereafter identifying a final recommended set of measurement parameter configurations and measuring misregistration of at least one multilayered semiconductor device, selected from the batch, using the final recommended set.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01R 31/26 - Test de dispositifs individuels à semi-conducteurs
  • G05B 17/02 - Systèmes impliquant l'usage de modèles ou de simulateurs desdits systèmes électriques
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

25.

FAB MANAGEMENT WITH DYNAMIC SAMPLING PLANS, OPTIMIZED WAFER MEASUREMENT PATHS AND OPTIMIZED WAFER TRANSPORT, USING QUANTUM COMPUTING

      
Numéro d'application US2019040665
Numéro de publication 2021/006858
Statut Délivré - en vigueur
Date de dépôt 2019-07-05
Date de publication 2021-01-14
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Manassen, Amnon
  • Grunzweig, Tzahi
  • Peled, Einat
  • Golotsvan, Anna

Abrégé

Systems and methods of optimizing wafer transport and metrology measurements in a fab are provided. Methods comprise deriving and updating dynamic sampling plans that provide wafer-specific measurement sites and conditions, deriving optimized wafer measurement paths for metrology measurements of the wafers that correspond to the dynamic sampling plan, managing FOUP (Front Opening Unified Pod) transport through the fab, transporting wafers to measurement tools while providing the dynamic sampling plans and the wafer measurement paths to the respective measurement tools before or as the FOUPs with the respective wafers are transported thereto, and carrying out metrology and/or inspection measurements of the respective wafers by the respective measurement tools according to the derived wafer measurement paths. Quantum computing resources may be used to solve the corresponding specific optimization problems, to reduce the required time, improve the calculated solutions and improve the fab yield and accuracy of the produced wafers.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05B 21/02 - Systèmes impliquant l'échantillonnage de la variable commandée électriques

26.

Expediting spectral measurement in semiconductor device fabrication

      
Numéro d'application 16934123
Numéro de brevet 11237120
Statut Délivré - en vigueur
Date de dépôt 2020-07-21
Date de la première publication 2020-12-17
Date d'octroi 2022-02-01
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Immer, Vincent
  • Marciano, Tal
  • Lavert, Etay

Abrégé

A device and method for expediting spectral measurement in metrological activities during semiconductor device fabrication through interferometric spectroscopy of white light illumination during calibration, overlay, and recipe creation.

Classes IPC  ?

  • G01N 21/45 - Réfringence; Propriétés liées à la phase, p.ex. longueur du chemin optique en utilisant les méthodes de Schlieren
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G01N 21/55 - Réflexion spéculaire
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/31 - Couleur; Propriétés spectrales, c. à d. comparaison de l'effet du matériau sur la lumière pour plusieurs longueurs d'ondes ou plusieurs bandes de longueurs d'ondes différentes en recherchant l'effet relatif du matériau pour les longueurs d'ondes caractéristiques d'éléments ou de molécules spécifiques, p.ex. spectrométrie d'absorption atomique
  • G02B 21/00 - Microscopes
  • G02B 21/08 - Condensateurs
  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • G01J 3/453 - Spectrométrie par interférence par corrélation des amplitudes
  • G02B 21/06 - Moyens pour éclairer un échantillon
  • G02B 21/18 - Aménagements avec plus d'un parcours de lumière, p.ex. pour comparer deux échantillons
  • G02B 21/36 - Microscopes aménagés pour la photographie ou la projection
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

27.

Overlay measurement using multiple wavelengths

      
Numéro d'application 16092559
Numéro de brevet 11158548
Statut Délivré - en vigueur
Date de dépôt 2018-09-03
Date de la première publication 2020-12-03
Date d'octroi 2021-10-26
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Lamhot, Yuval
  • Amit, Eran
  • Peled, Einat
  • Sella, Noga
  • Cheng, Wei-Te
  • Adam, Ido

Abrégé

A method of determining overlay (“OVL”) in a pattern in a semiconductor wafer manufacturing process comprises capturing images from a cell in a metrology target formed in at least two different layers in the wafer with parts of the target offset in opposing directions with respect to corresponding parts in a different layer. The images may be captured using radiation of multiple different wavelengths, each image including +1 and −1 diffraction patterns. A first and second differential signal may be determined for respective pixels in each image by subtracting opposing pixels from the +1 and −1 diffraction orders for each of the multiple wavelengths. An OVL for the respective pixels may be determined based on analyzing the differential signals from multiple wavelengths simultaneously. Then an OVL for the pattern may be determined as a weighted average of the OVL of the respective pixels.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/31 - Couleur; Propriétés spectrales, c. à d. comparaison de l'effet du matériau sur la lumière pour plusieurs longueurs d'ondes ou plusieurs bandes de longueurs d'ondes différentes en recherchant l'effet relatif du matériau pour les longueurs d'ondes caractéristiques d'éléments ou de molécules spécifiques, p.ex. spectrométrie d'absorption atomique
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

28.

Simultaneous multi-directional laser wafer inspection

      
Numéro d'application 16946742
Numéro de brevet 11366069
Statut Délivré - en vigueur
Date de dépôt 2020-07-02
Date de la première publication 2020-10-22
Date d'octroi 2022-06-21
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Zhao, Guoheng
  • Liu, Sheng
  • Tsai, Ben-Ming Benjamin

Abrégé

Disclosed is apparatus for inspecting a sample. The apparatus includes illumination optics for simultaneously directing a plurality of incident beams at a plurality of azimuth angles towards a sample and collection optics for directing a plurality of field portions of output light from two or more of the plurality of angles towards two or more corresponding sensors. The two or more sensors are arranged for receiving the field portions corresponding to two or more angles and generating two or more corresponding images. The apparatus further comprises a processor for analyzing the two or more images to detect defects on the sample.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G06T 7/00 - Analyse d'image
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • H04N 5/225 - Caméras de télévision
  • H04N 5/232 - Dispositifs pour la commande des caméras de télévision, p.ex. commande à distance
  • H04N 5/235 - Circuits pour la compensation des variations de la luminance de l'objet

29.

Method for measuring and correcting misregistration between layers in a semiconductor device, and misregistration targets useful therein

      
Numéro d'application 16467968
Numéro de brevet 11302544
Statut Délivré - en vigueur
Date de dépôt 2019-05-06
Date de la première publication 2020-10-01
Date d'octroi 2022-04-12
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Milo, Renan
  • Yerushalmi, Liran
  • Zaberchik, Moran
  • Feler, Yoel
  • Izraeli, David

Abrégé

A method for measurement of misregistration in the manufacture of semiconductor device wafers, the method including measuring misregistration between layers of a semiconductor device wafer at a first instance and providing a first misregistration indication, measuring misregistration between layers of a semiconductor device wafer at a second instance and providing a second misregistration indication, providing a misregistration measurement difference output in response to a difference between the first misregistration indication and the second misregistration indication, providing a baseline difference output and ameliorating the difference between the misregistration measurement difference output and the baseline difference output.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)

30.

Die screening using inline defect information

      
Numéro d'application 16439465
Numéro de brevet 10930597
Statut Délivré - en vigueur
Date de dépôt 2019-06-12
Date de la première publication 2020-10-01
Date d'octroi 2021-02-23
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Lim, Alex Teng Song
  • Meenakshisundaram, Ganesh

Abrégé

Embodiments herein include methods, systems, and apparatuses for die screening using inline defect information. Such embodiments may include receiving a plurality of defects, receiving wafersort electrical data for a plurality of dies, classifying each of the defects as a defect-of-interest or nuisance, determining a defect-of-interest confidence for each of the defects-of-interest, determining a die return index for each of the dies containing at least one of the defects-of-interest, determining a die return index cutline, and generating an inking map. Each of the defects may be associated with a die in the plurality of dies. Each of the dies may be tagged as passing a wafersort electrical test or failing the wafersort electrical test. Classifying each of the defects as a defect-of-interest or nuisance may be accomplished using a defect classification model, which may include machine learning. The inking map may be electronically communicated to an inking system.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G06T 7/00 - Analyse d'image
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

31.

VACUUM HOLD-DOWN APPARATUS FOR FLATTENING BOWED SEMICONDUCTOR WAFERS

      
Numéro d'application US2019023918
Numéro de publication 2020/197544
Statut Délivré - en vigueur
Date de dépôt 2019-03-25
Date de publication 2020-10-01
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Hildesheim, Ariel
  • Angel, Ofer

Abrégé

Vacuum hold-down apparatus suitable for retaining a wafer in a desired position and orientation, the apparatus including a vacuum chuck assembly defining a vacuum chuck surface having a vacuum communication aperture, a venturi vacuum generator fixed with respect to the vacuum chuck assembly and communicating with the vacuum chuck surface via the vacuum communication aperture and a positive pressure fluid line communicating with the venturi vacuum generator.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

32.

METHOD FOR MEASURING AND CORRECTING MISREGISTRATION BETWEEN LAYERS IN A SEMICONDUCTOR DEVICE, AND MISREGISTRATION TARGETS USEFUL THEREIN

      
Numéro d'application US2019030776
Numéro de publication 2020/197571
Statut Délivré - en vigueur
Date de dépôt 2019-05-06
Date de publication 2020-10-01
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Milo, Renan
  • Yerushalmi, Liran
  • Zaberchik, Moran
  • Feler, Yoel
  • Izraeli, David

Abrégé

A method for measurement of misregistration in the manufacture of semiconductor device wafers, the method including measuring misregistration between layers of a semiconductor device wafer at a first instance and providing a first misregistration indication, measuring misregistration between layers of a semiconductor device wafer at a second instance and providing a second misregistration indication, providing a misregistration measurement difference output in response to a difference between the first misregistration indication and the second misregistration indication, prodding a baseline difference output and ameliorating the difference between the misregistration measurement difference output and the baseline difference output.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

33.

Process monitoring of deep structures with X-ray scatterometry

      
Numéro d'application 16894480
Numéro de brevet 11145559
Statut Délivré - en vigueur
Date de dépôt 2020-06-05
Date de la première publication 2020-09-24
Date d'octroi 2021-10-12
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Gellineau, Antonio Arion
  • Dziura, Thaddeus Gerard

Abrégé

Methods and systems for estimating values of process parameters, structural parameters, or both, based on x-ray scatterometry measurements of high aspect ratio semiconductor structures are presented herein. X-ray scatterometry measurements are performed at one or more steps of a fabrication process flow. The measurements are performed quickly and with sufficient accuracy to enable yield improvement of an on-going semiconductor fabrication process flow. Process corrections are determined based on the measured values of parameters of interest and the corrections are communicated to the process tool to change one or more process control parameters of the process tool. In some examples, measurements are performed while the wafer is being processed to control the on-going fabrication process step. In some examples, X-ray scatterometry measurements are performed after a particular process step and process control parameters are updated for processing of future devices.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01N 23/20 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux
  • G03F 7/20 - Exposition; Appareillages à cet effet

34.

Correlating SEM and optical images for wafer noise nuisance identification

      
Numéro d'application 16886255
Numéro de brevet 10921262
Statut Délivré - en vigueur
Date de dépôt 2020-05-28
Date de la première publication 2020-09-17
Date d'octroi 2021-02-16
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Zhang, Qiang
  • Chen, Grace H.

Abrégé

Disclosed are apparatus and methods for inspecting a sample. Locations corresponding to candidate defect events on a sample are provided from an inspector operable to acquire optical images from which such candidate defect events are detected at their corresponding locations across the sample. High-resolution images are acquired from a high-resolution inspector of the candidate defect events at their corresponding locations on the sample. Each of a set of modelled optical images, which have been modeled from a set of the acquired high-resolution images, is correlated with corresponding ones of a set of the acquired optical images, to identify surface noise events, as shown in the set of high-resolution images, as sources for the corresponding candidate events in the set of acquired optical images. Otherwise, a subsurface event is identified as a likely source for a corresponding candidate defect event.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G06T 7/00 - Analyse d'image
  • G06T 7/174 - Découpage; Détection de bords impliquant l'utilisation de plusieurs images
  • G06T 7/11 - Découpage basé sur les zones
  • G01N 23/2251 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en mesurant l'émission secondaire de matériaux en utilisant des microsondes électroniques ou ioniques en utilisant des faisceaux d’électrons incidents, p.ex. la microscopie électronique à balayage [SEM]
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

35.

DYNAMIC AMELIORATION OF MISREGISTRATION MEASUREMENT

      
Numéro d'application US2019033019
Numéro de publication 2020/185242
Statut Délivré - en vigueur
Date de dépôt 2019-05-19
Date de publication 2020-09-17
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Golotsvan, Anna
  • Abend, Eyal

Abrégé

A dynamic misregistration measurement amelioration method including taking at least one misregistration measurement at multiple sites on a first semiconductor device wafer, which is selected from a batch of semiconductor device wafers intended to be identical, analyzing each of the misregistration measurements, using data from the analysis of each of the misregistration measurements to determine ameliorated misregistration measurement parameters at each one of the multiple sites, thereafter ameliorating misregistration metrology tool setup for ameliorated misregistration measurement at the each one of the multiple sites, thereby generating an ameliorated misregistration metrology tool setup and thereafter measuring misregistration at multiple sites on a second semiconductor device wafer, which is selected from the batch of semiconductor device wafers intended to be identical, using the ameliorated misregistration metrology tool setup.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

36.

Dynamic amelioration of misregistration measurement

      
Numéro d'application 16470886
Numéro de brevet 11551980
Statut Délivré - en vigueur
Date de dépôt 2019-05-19
Date de la première publication 2020-09-10
Date d'octroi 2023-01-10
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Golotsvan, Anna
  • Abend, Eyal

Abrégé

A dynamic misregistration measurement amelioration method including taking at least one misregistration measurement at multiple sites on a first semiconductor device wafer, which is selected from a batch of semiconductor device wafers intended to be identical, analyzing each of the misregistration measurements, using data from the analysis of each of the misregistration measurements to determine ameliorated misregistration measurement parameters at each one of the multiple sites, thereafter ameliorating misregistration metrology tool setup for ameliorated misregistration measurement at the each one of the multiple sites, thereby generating an ameliorated misregistration metrology tool setup and thereafter measuring misregistration at multiple sites on a second semiconductor device wafer, which is selected from the batch of semiconductor device wafers intended to be identical, using the ameliorated misregistration metrology tool setup.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

37.

METHOD OF MEASURING MISREGISTRATION OF SEMICONDUCTOR DEVICES

      
Numéro d'application US2019027114
Numéro de publication 2020/176117
Statut Délivré - en vigueur
Date de dépôt 2019-04-12
Date de publication 2020-09-03
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Dolev, Ido

Abrégé

A method of measuring misregistration in the manufacture of semiconductor devices including providing a multilayered semiconductor device, using a scatterometry metrology tool to perform misregistration measurements at multiple sites on the multilayered semiconductor device, receiving raw misregistration data for each of the misregistration measurements, thereafter providing filtered misregistration data by removing outlying raw misregistration data points from the raw misregistration data for each of the misregistration measurements, using the filtered misregistration data to model misregistration for the multilayered semiconductor device, calculating correctables from the modeled misregistration for the multilayered semiconductor device, providing the correctables to the scatterometry metrology tool, thereafter recalibrating the scatterometry metrology tool based on the correctables and measuring misregistration using the scatterometry metrology tool following the recalibration.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

38.

Arbitrary wavefront compensator for deep ultraviolet (DUV) optical imaging system

      
Numéro d'application 16119970
Numéro de brevet 10761031
Statut Délivré - en vigueur
Date de dépôt 2018-08-31
Date de la première publication 2020-09-01
Date d'octroi 2020-09-01
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Zhang, Qiang
  • Sezginer, Abdurrahman

Abrégé

Disclosed is a system that includes a light source for generating an illumination beam and an illumination lens system for directing the illumination beam towards a sample. The system further includes a collection lens system for directing towards a detector output light from the sample in response to the illumination beam and a detector for receiving the output light from the sample. The collection lens system includes a fixed-design compensator plate having individually selectable filters with different configurations for correcting system aberration of the system under different operating conditions. The system also includes a controller operable for: (i) generating and directing the illumination beam towards the sample, (ii) selecting operating conditions and a filter for correcting the system aberration under such selected operating conditions, (iii) generating an image based on the output light, and (iv) determining whether the sample passes inspection or characterizing such sample based on the image.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

39.

Method of measuring misregistration of semiconductor devices

      
Numéro d'application 16349101
Numéro de brevet 10928739
Statut Délivré - en vigueur
Date de dépôt 2019-04-12
Date de la première publication 2020-08-27
Date d'octroi 2021-02-23
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Volkovich, Roie
  • Dolev, Ido

Abrégé

A method of measuring misregistration in the manufacture of semiconductor devices including providing a multilayered semiconductor device, using a scatterometry metrology tool to perform misregistration measurements at multiple sites on the multilayered semiconductor device, receiving raw misregistration data for each of the misregistration measurements, thereafter providing filtered misregistration data by removing outlying raw misregistration data points from the raw misregistration data for each of the misregistration measurements, using the filtered misregistration data to model misregistration for the multilayered semiconductor device, calculating correctables from the modeled misregistration for the multilayered semiconductor device, providing the correctables to the scatterometry metrology tool, thereafter recalibrating the scatterometry metrology tool based on the correctables and measuring misregistration using the scatterometry metrology tool following the recalibration.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse

40.

MISREGISTRATION MEASUREMENTS USING COMBINED OPTICAL AND ELECTRON BEAM TECHNOLOGY

      
Numéro d'application US2019035282
Numéro de publication 2020/167331
Statut Délivré - en vigueur
Date de dépôt 2019-06-04
Date de publication 2020-08-20
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Yerushalmi, Liran
  • Gutman, Nadav

Abrégé

A misregistration metrology system useful in manufacturing semiconductor device wafers including an optical misregistration metrology tool configured to measure misregistration at at least one target between two layers of a semiconductor device which is selected from a batch of semiconductor device wafers which are intended to be identical, an electron beam misregistration metrology tool configured to measure misregistration at the at least one target between two layers of a semiconductor device which is selected from the batch and a combiner operative to combine outputs of the optical misregistration metrology tool and the electron beam misregistration metrology tool to provide a combined misregistration metric.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G03F 7/20 - Exposition; Appareillages à cet effet

41.

File selection for test image to design alignment

      
Numéro d'application 16677615
Numéro de brevet 10922808
Statut Délivré - en vigueur
Date de dépôt 2019-11-07
Date de la première publication 2020-08-20
Date d'octroi 2021-02-16
Propriétaire KLA—Tencor Corp. (USA)
Inventeur(s) Brauer, Bjorn

Abrégé

Methods and systems for selecting one or more design files for use in test image to design alignment are provided. One method includes identifying which of first and second sets of images best match test images generated for a specimen by comparing the first and second sets of images to the test images. The first and second sets of images include images of patterned features in first and second sets, respectively, of design layers on the specimen that are different from each other. The method also includes selecting design file(s) for the specimen that best match the identified set of images by comparing the identified set of images to the design files and storing information for the selected design file(s) for use in a process in which patterned features in the selected design file(s) are aligned to patterned features in test images generated for specimens in the process.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G06T 7/33 - Détermination des paramètres de transformation pour l'alignement des images, c. à d. recalage des images utilisant des procédés basés sur les caractéristiques

42.

Misregistration measurements using combined optical and electron beam technology

      
Numéro d'application 16477552
Numéro de brevet 11075126
Statut Délivré - en vigueur
Date de dépôt 2019-06-04
Date de la première publication 2020-08-20
Date d'octroi 2021-07-27
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Volkovich, Roie
  • Yerushalmi, Liran
  • Gutman, Nadav

Abrégé

A misregistration metrology system useful in manufacturing semiconductor device wafers including an optical misregistration metrology tool configured to measure misregistration at at least one target between two layers of a semiconductor device which is selected from a batch of semiconductor device wafers which are intended to be identical, an electron beam misregistration metrology tool configured to measure misregistration at the at least one target between two layers of a semiconductor device which is selected from the batch and a combiner operative to combine outputs of the optical misregistration metrology tool and the electron beam misregistration metrology tool to provide a combined misregistration metric.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

43.

System, method and non-transitory computer readable medium for tuning sensitivities of, and determining a process window for, a modulated wafer

      
Numéro d'application 16862348
Numéro de brevet 11139216
Statut Délivré - en vigueur
Date de dépôt 2020-04-29
Date de la première publication 2020-08-13
Date d'octroi 2021-10-05
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Oram, David Craig
  • Mathur, Abhinav
  • Wu, Kenong
  • Shifrin, Eugene

Abrégé

A system, method, and non-transitory computer readable medium are provided for tuning sensitivities of, and determining a process window for, a modulated wafer. The sensitivities for dies of the modulated wafer are tuned dynamically based on a single set of parameters. Further, the process window is determined for the modulated wafer from prior determined parameter-specific nominal process windows.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

44.

Photocathode emitter system that generates multiple electron beams

      
Numéro d'application 16106272
Numéro de brevet 10741354
Statut Délivré - en vigueur
Date de dépôt 2018-08-21
Date de la première publication 2020-08-11
Date d'octroi 2020-08-11
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Delgado, Gildardo R.
  • Ioakeimidi, Katerina
  • Garcia, Rudy
  • Marks, Zefram
  • Lopez Lopez, Gary V.
  • Hill, Frances A.
  • Romero, Michael E.

Abrégé

The system includes a photocathode electron source, diffractive optical element, and a microlens array to focus the beamlets. A source directs a radiation beam to the diffractive optical element, which produces a beamlet array to be used in combination with a photocathode surface to generate an array of electron beams from the beamlets.

Classes IPC  ?

  • H01J 37/00 - Tubes à décharge pourvus de moyens permettant l'introduction d'objets ou d'un matériau à exposer à la décharge, p.ex. pour y subir un examen ou un traitement
  • H01J 37/073 - Canons à électrons utilisant des sources d'électrons à émission par effet de champ, à photo-émission ou à émission secondaire
  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube
  • G01N 23/20058 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux en mesurant la diffraction des électrons, p.ex.la diffraction d’électrons lents [LEED] ou la diffraction d’électrons de haute énergie en incidence rasante [RHEED]
  • G02B 5/18 - Grilles de diffraction
  • G02B 3/00 - Lentilles simples ou composées
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

45.

Moiré target and method for using the same in measuring misregistration of semiconductor devices

      
Numéro d'application 16349089
Numéro de brevet 11119419
Statut Délivré - en vigueur
Date de dépôt 2019-04-10
Date de la première publication 2020-08-06
Date d'octroi 2021-09-14
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s) Ghinovker, Mark

Abrégé

A target for use in the optical measurement of misregistration in the manufacture of semiconductor devices, the target including a first periodic structure formed on a first layer of a semiconductor device and having a first pitch along an axis and a second periodic structure formed on a second layer of the semiconductor device and having a second pitch along the axis, different from the first pitch, the second periodic structure extending beyond the first periodic structure along the axis.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

46.

MOIRÉ TARGET AND METHOD FOR USING THE SAME IN MEASURING MISREGISTRATION OF SEMICONDUCTOR DEVICES

      
Numéro d'application US2019026686
Numéro de publication 2020/159560
Statut Délivré - en vigueur
Date de dépôt 2019-04-10
Date de publication 2020-08-06
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Ghinovker, Mark

Abrégé

A target for use in the optical measurement of misregistration in the manufacture of semiconductor devices, the target including a first periodic structure formed on a first layer of a semiconductor device and having a first pitch along an axis and a second periodic structure formed on a second layer of the semiconductor device and having a second pitch along the axis, different from the first pitch, the second periodic structure extending beyond the first periodic structure along the axis.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 14/34 - Pulvérisation cathodique
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

47.

Measurement library optimization in semiconductor metrology

      
Numéro d'application 16417085
Numéro de brevet 10732520
Statut Délivré - en vigueur
Date de dépôt 2019-05-20
Date de la première publication 2020-08-04
Date d'octroi 2020-08-04
Propriétaire KLA Tencor Corporation (USA)
Inventeur(s)
  • Cao, Meng
  • Lee, Lie-Quan
  • Zhao, Qiang
  • Li, Heyin
  • Ye, Mengmeng

Abrégé

Methods and systems for optimizing a set of measurement library control parameters for a particular metrology application are presented herein. Measurement signals are collected from one or more metrology targets by a target measurement system. Values of user selected parameters of interest are resolved by fitting a pre-computed measurement library function to the measurement signals for a given set of library control parameters. Values of one or more library control parameters are optimized such that differences between the values of the parameters of interest estimated by the library based measurement and reference values associated with trusted measurements of the parameters of interest are minimized. The optimization of the library control parameter values is performed without recalculating the pre-computed measurement library. Subsequent library based measurements are performed by the target measurement system using the optimized set of measurement library control parameters with improved measurement performance.

Classes IPC  ?

  • G06F 9/00 - Dispositions pour la commande par programme, p.ex. unités de commande
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

48.

Repeater defect detection

      
Numéro d'application 16845681
Numéro de brevet 11204332
Statut Délivré - en vigueur
Date de dépôt 2020-04-10
Date de la première publication 2020-07-30
Date d'octroi 2021-12-21
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Shifrin, Eugene
  • Brauer, Bjorn
  • Sen, Sumit
  • Mathew, Ashok
  • Chandrasekaran, Sreeram
  • Gao, Lisheng

Abrégé

Defects from a hot scan can be saved, such as on persistent storage, random access memory, or a split database. The persistent storage can be patch-based virtual inspector virtual analyzer (VIVA) or local storage. Repeater defect detection jobs can determined and the wafer can be inspected based on the repeater defect detection jobs. Repeater defects can be analyzed and corresponding defect records to the repeater defects can be read from the persistent storage. These results may be returned to the high level defect detection controller.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/00 - Analyse d'image

49.

Measuring thin films on grating and bandgap on grating

      
Numéro d'application 16848945
Numéro de brevet 11555689
Statut Délivré - en vigueur
Date de dépôt 2020-04-15
Date de la première publication 2020-07-30
Date d'octroi 2023-01-17
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Chouaib, Houssam
  • Tan, Zhengquan

Abrégé

Methods and systems disclosed herein can measure thin film stacks, such as film on grating and bandgap on grating in semiconductors. For example, the thin film stack may be a 1D film stack, a 2D film on grating, or a 3D film on grating. One or more effective medium dispersion models are created for the film stack. Each effective medium dispersion model can substitute for one or more layers. A thickness of one or more layers can be determined using the effective medium dispersion based scatterometry model. In an instance, three effective medium dispersion based scatterometry models are developed and used to determine thickness of three layers in a film stack.

Classes IPC  ?

  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • G01B 15/02 - Dispositions pour la mesure caractérisées par l'utilisation d'ondes électromagnétiques ou de radiations de particules, p.ex. par l'utilisation de micro-ondes, de rayons X, de rayons gamma ou d'électrons pour mesurer l'épaisseur
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

50.

Systems and methods for optimizing focus for imaging-based overlay metrology

      
Numéro d'application 16848056
Numéro de brevet 11313669
Statut Délivré - en vigueur
Date de dépôt 2020-04-14
Date de la première publication 2020-07-30
Date d'octroi 2022-04-26
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Manassen, Amnon
  • Hill, Andrew

Abrégé

Methods and systems for focusing and measuring by mean of an interferometer device, having an optical coherence tomography (OCT) focusing system, by separately directing an overlapped measurement and reference wavefront towards a focus sensor and towards an imaging sensor; where a predefined focusing illumination spectrum of the overlapped wavefront is directed towards the focus sensor, and where a predefined measurement illumination spectrum of the overlapped wavefront is directed towards the imaging sensor. Methods and systems for maintaining focus of an interferometer device, having an OCT focusing system, during sample's stage moves.

Classes IPC  ?

  • G01B 9/02 - Interféromètres
  • G01B 9/02091 - Interféromètres tomographiques, p.ex. à cohérence optique
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

51.

Methods and systems for co-located metrology

      
Numéro d'application 16257066
Numéro de brevet 10804167
Statut Délivré - en vigueur
Date de dépôt 2019-01-24
Date de la première publication 2020-07-30
Date d'octroi 2020-10-13
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Wang, David Y.
  • Salcin, Esen
  • Friedmann, Michael
  • Shaughnessy, Derrick
  • Shchegrov, Andrei V.
  • Madsen, Jonathan M.
  • Kuznetsov, Alexander

Abrégé

Methods and systems for performing co-located measurements of semiconductor structures with two or more measurement subsystems are presented herein. To achieve a sufficiently small measurement box size, the metrology system monitors and corrects the alignment of the measurement spot of each metrology subsystem with a metrology target to achieve maximum co-location of the measurement spots of each metrology subsystem with the metrology target. In another aspect, measurements are performed simultaneously by two or more metrology subsystems at high throughput at the same wafer location. Furthermore, the metrology system effectively decouples simultaneously acquired measurement signals associated with each measurement subsystem. This maximizes signal information associated with simultaneous measurements of the same metrology by two or more metrology subsystems.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G06N 3/02 - Réseaux neuronaux
  • G01N 21/21 - Propriétés affectant la polarisation

52.

Multi-sensor tiled camera with flexible electronics for wafer inspection

      
Numéro d'application 16379900
Numéro de brevet 10724964
Statut Délivré - en vigueur
Date de dépôt 2019-04-10
Date de la première publication 2020-07-28
Date d'octroi 2020-07-28
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Pombo, Pablo
  • Lehman, Kurt

Abrégé

Sensor units can be disposed in a support member. Each of the sensor units can include a folded flex board having a plurality of laminations and an aperture and a sensor disposed in the folded flex board such that the sensor is positioned over the aperture. The system can be used in broad band plasma inspection tools for semiconductor wafers.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • H04N 5/225 - Caméras de télévision

53.

Semiconductor metrology based on hyperspectral imaging

      
Numéro d'application 16245695
Numéro de brevet 10801953
Statut Délivré - en vigueur
Date de dépôt 2019-01-11
Date de la première publication 2020-07-16
Date d'octroi 2020-10-13
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Wang, David Y.
  • Buettner, Alexander
  • Pandev, Stilian Ivanov
  • Saerchen, Emanuel
  • Shchegrov, Andrei V.
  • Blasenheim, Barry

Abrégé

Methods and systems for performing semiconductor measurements based on hyperspectral imaging are presented herein. A hyperspectral imaging system images a wafer over a large field of view with high pixel density over a broad range of wavelengths. Image signals collected from a measurement area are detected at a number of pixels. The detected image signals from each pixel are spectrally analyzed separately. In some embodiments, the illumination and collection optics of a hyperspectral imaging system include fiber optical elements to direct illumination light from the illumination source to the measurement area on the surface of the specimen under measurement and fiber optical elements to image the measurement area. In another aspect, a fiber optics collector includes an image pixel mapper that couples a two dimensional array of collection fiber optical elements into a one dimensional array of pixels at the spectrometer and the hyperspectral detector.

Classes IPC  ?

  • G01J 3/28 - Etude du spectre
  • G01N 21/3563 - Couleur; Propriétés spectrales, c. à d. comparaison de l'effet du matériau sur la lumière pour plusieurs longueurs d'ondes ou plusieurs bandes de longueurs d'ondes différentes en recherchant l'effet relatif du matériau pour les longueurs d'ondes caractéristiques d'éléments ou de molécules spécifiques, p.ex. spectrométrie d'absorption atomique en utilisant la lumière infrarouge pour l'analyse de solides; Préparation des échantillons à cet effet
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/21 - Propriétés affectant la polarisation

54.

Method and system for optical three dimensional topography measurement

      
Numéro d'application 16806076
Numéro de brevet 11287248
Statut Délivré - en vigueur
Date de dépôt 2020-03-02
Date de la première publication 2020-07-09
Date d'octroi 2022-03-29
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Zhao, Guoheng
  • Van Der Burgt, Maarten
  • Liu, Sheng
  • Hill, Andy
  • De Greeve, Johan
  • Van Gils, Karel

Abrégé

For three-dimensional topography measurement of a surface of an object patterned illumination is projected on the surface through an objective. A relative movement between the object and the objective is carried out, and plural images of the surface are recorded through the objective by a detector. The direction of the relative movement includes an oblique angle with an optical axis of the objective. Height information for a given position on the surface is derived from a variation of the intensity recorded from the respective position. Also, patterned illumination and uniform illumination may be projected alternatingly on the surface, while images of the surface are recorded during a relative movement of the object and the objective along an optical axis of the objective. Uniform illumination is used for obtaining height information for specular structures on the surface, patterned illumination is used for obtaining height information on other parts of the surface.

Classes IPC  ?

  • G01B 11/25 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes en projetant un motif, p.ex. des franges de moiré, sur l'objet
  • G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes
  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur

55.

Boron-based capping layers for EUV optics

      
Numéro d'application 16413740
Numéro de brevet 11268911
Statut Délivré - en vigueur
Date de dépôt 2019-05-16
Date de la première publication 2020-07-09
Date d'octroi 2022-03-08
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Delgado, Gildardo R
  • Hill, Shannon B
  • Marks, Zefram

Abrégé

Disclosed herein are optical elements and methods for making the same. Such optical elements may comprise a first layer disposed on a substrate, a second layer disposed on the first layer, a terminal layer disposed on the second layer, and a cap layer disposed on the terminal layer. The cap layer may comprise boron, boron nitride, or boron carbide. Such optical elements may be made using a method comprising depositing a first layer using vapor deposition such that the first layer is disposed on a substrate, depositing a second layer using vapor deposition such that the second layer is disposed on the first layer, depositing a terminal layer using vapor deposition such that the terminal layer is disposed on the second layer, and depositing a cap layer comprising boron, boron nitride, or boron carbide using vapor deposition such that the cap layer is disposed on the terminal layer.

Classes IPC  ?

  • H01L 27/146 - Structures de capteurs d'images
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • H01L 31/0216 - Revêtements

56.

Differential imaging for single-path optical wafer inspection

      
Numéro d'application 16229816
Numéro de brevet 11138722
Statut Délivré - en vigueur
Date de dépôt 2018-12-21
Date de la première publication 2020-06-25
Date d'octroi 2021-10-05
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Shchemelinin, Anatoly
  • Bezel, Ilya
  • Shifrin, Eugene

Abrégé

Methods and systems for enhanced defect detection based on images collected by at least two imaging detectors at different times are described. In some embodiments, the time between image measurements is at least 100 microseconds and no more than 10 milliseconds. In one aspect, one or more defects of interest are identified based on a composite image of a measured area generated based on a difference between collected images. In a further aspect, measurement conditions associated with the each imaged location are adjusted to be different for measurements performed by at least two imaging detectors at different times. In some embodiments, the measurement conditions are adjusted during the time between measurements by different imaging detectors. Exemplary changes of measurement conditions include environmental changes at the wafer under measurement and changes made to the optical configuration of the inspection system.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

57.

Radiation-induced false count mitigation and detector cooling

      
Numéro d'application 16170954
Numéro de brevet 10690599
Statut Délivré - en vigueur
Date de dépôt 2018-10-25
Date de la première publication 2020-06-23
Date d'octroi 2020-06-23
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Biellak, Stephen
  • Trytko, Tyler

Abrégé

An inspection system with radiation-induced false count mitigation includes an illumination source configured to illuminate a sample and a liquid-cooling coincidence detector, which includes an illumination detector to detect illumination from the sample, a liquid-cooling device for regulating a temperature of the illumination detector via a liquid, and photodetectors to detect light generated in the liquid in response to particle radiation. The liquid-cooling coincidence detector may also include controllers to identify a set of illumination detection events based on an illumination signal received from the illumination detector, identify a set of radiation detection events based on radiation signals received from the photodetectors, compare the set of radiation detection events to the set of illumination detection events to identify a set of coincidence events, and exclude the set of coincidence events from the set of illumination detection events to generate a set of identified features on the sample.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01T 1/24 - Mesure de l'intensité de radiation avec des détecteurs à semi-conducteurs
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

58.

Adaptive numerical aperture control method and system

      
Numéro d'application 15214371
Numéro de brevet 10678226
Statut Délivré - en vigueur
Date de dépôt 2016-07-19
Date de la première publication 2020-06-09
Date d'octroi 2020-06-09
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Wang, Qiang
  • Lee, Liequan
  • Li, Xin
  • Zhao, Qiang

Abrégé

Systems and methods for providing efficient modeling and measurement of critical dimensions and/or overlay registrations of wafers are disclosed. Efficiency is improved in both spectral dimension and temporal dimension. In the spectral dimension, efficiency can be improved by allowing different numerical aperture (NA) models to be used for different wavelengths in electromagnetic calculations, effectively providing a balance between computation speed and accuracy. In the temporal dimension, different NA models may be used at different iterations/stages in the process, effectively improving the computation speed without sacrificing the quality of the final result.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

59.

SINGLE CELL GREY SCATTEROMETRY OVERLAY TARGETS AND THEIR MEASUREMENT USING VARYING ILLUMINATION PARAMETER(S)

      
Numéro d'application US2019045039
Numéro de publication 2020/106335
Statut Délivré - en vigueur
Date de dépôt 2019-08-05
Date de publication 2020-05-28
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Manassen, Amnon
  • Paskover, Yuri
  • Amit, Eran

Abrégé

Scatterometry overlay (SCOL) measurement methods, systems and targets are provided to enable efficient SCOL metrology with in-die targets. Methods comprise generating a signal matrix by: illuminating a SCOL target at multiple values of at least one illumination parameter, and at multiple spot locations on the target, wherein the illumination is at a NA (numerical aperture) >1/3 yielding a spot diameter < 1µ, measuring interference signals of zeroth and first diffraction orders, and constructing the signal matrix from the measured signals with respect to the illumination parameters and the spot locations on the target; and deriving a target overlay by analyzing the signal matrix. The SCOL targets may be reduced to be a tenth in size with respect to prior art targets, as less and smaller target cells are required, and be easily set in-die to improve the accuracy and fidelity of the metrology measurements.

Classes IPC  ?

  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes
  • G01B 9/02 - Interféromètres
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

60.

Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)

      
Numéro d'application 16491963
Numéro de brevet 11119417
Statut Délivré - en vigueur
Date de dépôt 2019-08-05
Date de la première publication 2020-05-21
Date d'octroi 2021-09-14
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Manassen, Amnon
  • Paskover, Yuri
  • Amit, Eran

Abrégé

Scatterometry overlay (SCOL) measurement methods, systems and targets are provided to enable efficient SCOL metrology with in-die targets. Methods comprise generating a signal matrix by: illuminating a SCOL target at multiple values of at least one illumination parameter, and at multiple spot locations on the target, wherein the illumination is at a NA (numerical aperture) >⅓ yielding a spot diameter <1μ, measuring interference signals of zeroth and first diffraction orders, and constructing the signal matrix from the measured signals with respect to the illumination parameters and the spot locations on the target; and deriving a target overlay by analyzing the signal matrix. The SCOL targets may be reduced to be a tenth in size with respect to prior art targets, as less and smaller target cells are required, and be easily set in-die to improve the accuracy and fidelity of the metrology measurements.

Classes IPC  ?

  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01B 9/02 - Interféromètres
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

61.

Using deep learning based defect detection and classification schemes for pixel level image quantification

      
Numéro d'application 16249337
Numéro de brevet 10672588
Statut Délivré - en vigueur
Date de dépôt 2019-01-16
Date de la première publication 2020-05-21
Date d'octroi 2020-06-02
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Pathangi, Hari
  • Meenakshisundaram, Sivaprrasath
  • Bansal, Tanay

Abrégé

A heat map of probable defects in an image can be represented as a matrix of defect probability index corresponding to each pixel. The image may be generated from data received from a detector of a scanning electron microscope or other inspection tools. A number of pixels in the image that exceed a corresponding threshold in the matrix can be quantified.

Classes IPC  ?

  • H01J 37/22 - Dispositifs optiques ou photographiques associés au tube
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage
  • G06T 7/00 - Analyse d'image

62.

ESTIMATION OF ASYMMETRIC ABERRATIONS

      
Numéro d'application US2018058068
Numéro de publication 2020/091733
Statut Délivré - en vigueur
Date de dépôt 2018-10-30
Date de publication 2020-05-07
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Feler, Yoel
  • Levinski, Vladimir

Abrégé

Metrology targets, target design methods and metrology measurement methods are provided, which estimate the effects of asymmetric aberrations, independently or in conjunction with metrology overlay estimations. Targets comprise one or more pairs of segmented periodic structures having a same coarse pitch, a same 1:1 line to space ratio and segmented into fine elements at a same fine pitch, wherein the segmented periodic structures differ from each other in that one thereof lacks at least one of its corresponding fine elements and/or in that one thereof comprises two groups of the fine elements which are separated from each other by a multiple of the fine pitch. The missing element(s) and/or central gap enable deriving the estimation of aberration effects from measurements of the corresponding segmented periodic structures. The fine pitches may be selected to correspond to the device fine pitches in the corresponding layer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

63.

Topographic phase control for overlay measurement

      
Numéro d'application 16672483
Numéro de brevet 11314173
Statut Délivré - en vigueur
Date de dépôt 2019-11-03
Date de la première publication 2020-05-07
Date d'octroi 2022-04-26
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Levinski, Vladimir
  • Paskover, Yuri
  • Manassen, Amnon
  • Shalibo, Yoni

Abrégé

Metrology tools and methods are provided, which estimate the effect of topographic phases corresponding to different diffraction orders, which result from light scattering on periodic targets, and adjust the measurement conditions to improve measurement accuracy. In imaging, overlay error magnification may be reduced by choosing appropriate measurement conditions based on analysis of contrast function behavior, changing illumination conditions (reducing spectrum width and illumination NA), using polarizing targets and/or optical systems, using multiple defocusing positions etc. On-the-fly calibration of measurement results may be carried out in imaging or scatterometry using additional measurements or additional target cells.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H04N 5/232 - Dispositifs pour la commande des caméras de télévision, p.ex. commande à distance
  • G06T 7/80 - Analyse des images capturées pour déterminer les paramètres de caméra intrinsèques ou extrinsèques, c. à d. étalonnage de caméra
  • G02B 7/38 - Systèmes pour la génération automatique de signaux de mise au point utilisant des techniques liées à la netteté de l'image mesurée en différents points de l'axe optique
  • G02B 27/32 - Repères fiduciels ou échelles de mesure à l'intérieur du système optique
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

64.

Algorithm selector based on image frames

      
Numéro d'application 16389442
Numéro de brevet 10801968
Statut Délivré - en vigueur
Date de dépôt 2019-04-19
Date de la première publication 2020-04-30
Date d'octroi 2020-10-13
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s) Brauer, Bjorn

Abrégé

Based on job dumps for defects of interest and nuisance events for multiple optical modes, detection algorithms, and attributes, the best combination of the aforementioned is identified. Combinations of each of the modes with each of the detection algorithms can be compared for all the defects of interest detected at an offset of zero. Capture rate versus nuisance rate can be determined for one of the attributes in each of the combinations.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

65.

Estimating amplitude and phase asymmetry in imaging technology for achieving high accuracy in overlay metrology

      
Numéro d'application 16075811
Numéro de brevet 10866090
Statut Délivré - en vigueur
Date de dépôt 2018-07-05
Date de la première publication 2020-04-30
Date d'octroi 2020-12-15
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Marciano, Tal
  • Gutman, Nadav
  • Paskover, Yuri
  • Cohen, Guy
  • Levinski, Vladimir

Abrégé

Metrology methods are provided for deriving metrology measurement parameter value(s) by identifying the value(s) in which the corresponding metrology measurement signal(s) have minimal amplitude asymmetry. Selecting the measurement parameter values as disclosed reduces significantly the measurement inaccuracy. For example, wavelength values and/or focus values may be detected to indicate minimal amplitude asymmetry and/or minimal phase asymmetry. In certain embodiments, wavelength values which provide minimal amplitude asymmetry also provide minimal signal sensitivity to focus. Developed metrics may be further used to indicate process robustness across wafers and lots. In some embodiments, imaging accuracy may be enhanced by through-focus landscaping of the amplitude asymmetry and detection of parameters values with minimal amplitude asymmetry.

Classes IPC  ?

  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

66.

Estimation of asymmetric aberrations

      
Numéro d'application 16305629
Numéro de brevet 10824082
Statut Délivré - en vigueur
Date de dépôt 2018-10-30
Date de la première publication 2020-04-30
Date d'octroi 2020-11-03
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Feler, Yoel
  • Levinski, Vladimir

Abrégé

Metrology targets, target design methods and menology measurement methods are provided, which estimate the effects of asymmetric aberrations, independently or in conjunction with metrology overlay estimations. Targets comprise one or more pairs of segmented periodic structures having a same coarse pitch, a same 1:1 line to space ratio and segmented into fine elements at a same fine pitch, wherein the segmented periodic structures differ from each other in that one thereof lacks at least one of its corresponding fine elements and/or in that one thereof comprises two groups of the fine elements which are separated from each other by a multiple of the fine pitch. The missing element(s) and/or central gap enable deriving the estimation of aberration effects from measurements of the corresponding segmented periodic structures. The fine pitches may be selected to correspond to the device fine pitches in the corresponding layer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

67.

Off-axis illumination overlay measurement using two-diffracted orders imaging

      
Numéro d'application 16317603
Numéro de brevet 11281111
Statut Délivré - en vigueur
Date de dépôt 2018-12-14
Date de la première publication 2020-04-30
Date d'octroi 2022-03-22
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Shalibo, Yoni
  • Paskover, Yuri
  • Levinski, Vladimir
  • Manassen, Amnon
  • Eisenbach, Shlomo
  • Laredo, Gilad
  • Hildesheim, Ariel

Abrégé

st diffraction orders.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes
  • G01B 11/14 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la distance ou la marge entre des objets ou des ouvertures espacés

68.

Metrology targets and methods with oblique periodic structures

      
Numéro d'application 16313972
Numéro de brevet 11137692
Statut Délivré - en vigueur
Date de dépôt 2018-11-29
Date de la première publication 2020-04-23
Date d'octroi 2021-10-05
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Feler, Yoel
  • Ghinovker, Mark
  • Svizher, Alexander
  • Levinski, Vladimir
  • Tarshish-Shapir, Inna

Abrégé

Metrology targets, design methods and measurement methods thereof are provided with periodic structure(s) which are oblique with respect to orthogonal production axes X and Y of the lithography tool—enabling more accurate overlay measurements of devices having diagonal (oblique, tilted) elements such as DRAM devices. One or more oblique periodic structure(s) may be used to provide one- or two-dimensional signals, with respect to one or more layers, possibly providing overlay measurements for multiple steps applied to one layer. The oblique periodic structure(s) may be used to modify current metrology target designs (e.g., imaging targets and/or scatterometry targets) or to design new targets, and measurement algorithms may be adjusted respectively to derive signals from the oblique periodic structure(s) and/or to provide pre-processed images thereof. The disclosed targets are process compatible and reflect more accurately the device overlays with respect to various process steps.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique

69.

And noise based care areas

      
Numéro d'application 16364161
Numéro de brevet 10832396
Statut Délivré - en vigueur
Date de dépôt 2019-03-25
Date de la première publication 2020-04-23
Date d'octroi 2020-11-10
Propriétaire KLA-Tencor Corp. (USA)
Inventeur(s)
  • Duffy, Brian
  • Plihal, Martin
  • Bhattacharyya, Santosh
  • Rouse, Gordon
  • Maher, Chris
  • Soltanmohammadi, Erfan

Abrégé

Methods and systems for setting up inspection of a specimen with design and noise based care areas are provided. One system includes one or more computer subsystems configured for generating a design-based care area for a specimen. The computer subsystem(s) are also configured for determining one or more output attributes for multiple instances of the care area on the specimen, and the one or more output attributes are determined from output generated by an output acquisition subsystem for the multiple instances. The computer subsystem(s) are further configured for separating the multiple instances of the care area on the specimen into different care area sub-groups such that the different care area sub-groups have statistically different values of the output attribute(s) and selecting a parameter of an inspection recipe for the specimen based on the different care area sub-groups.

Classes IPC  ?

  • G03F 1/84 - Inspection
  • G06T 7/00 - Analyse d'image
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/11 - Découpage basé sur les zones
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G01R 31/308 - Test sans contact utilisant des rayonnements électromagnétiques non ionisants, p.ex. des rayonnements optiques

70.

Deflection array apparatus for multi-electron beam system

      
Numéro d'application 16230325
Numéro de brevet 10748739
Statut Délivré - en vigueur
Date de dépôt 2018-12-21
Date de la première publication 2020-04-16
Date d'octroi 2020-08-18
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Jiang, Xinrong
  • Sears, Christopher

Abrégé

An optical characterization system utilizing a micro-lens array (MLA) is provided. The system may include an electron source and a MLA including a micro-deflection array (MDA). The MDA may include an insulator substrate and a plurality of hexapole electrostatic deflectors disposed on the insulator substrate. The MDA may further include a plurality of voltage connecting lines configured to electrically couple the plurality of hexapole electrostatic deflectors to one or more voltage sources. The MDA may be configured to split a primary electron beam from the electron source into a plurality of primary electron beamlets. The system may be configured to focus the plurality of primary electron beamlets at a wafer plane.

Classes IPC  ?

71.

Photocathode designs and methods of generating an electron beam using a photocathode

      
Numéro d'application 16704061
Numéro de brevet 10804069
Statut Délivré - en vigueur
Date de dépôt 2019-12-05
Date de la première publication 2020-04-09
Date d'octroi 2020-10-13
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Ioakeimidi, Katerina
  • Delgado, Gildardo R.
  • Romero, Michael E.
  • Hill, Frances
  • Garcia, Rudy F.

Abrégé

A photocathode can include a body fabricated of a wide bandgap semiconductor material, a metal layer, and an alkali halide photocathode emitter. The body may have a thickness of less than 100 nm and the alkali halide photocathode may have a thickness less than 10 nm. The photocathode can be illuminated with a dual wavelength scheme.

Classes IPC  ?

  • H01J 37/073 - Canons à électrons utilisant des sources d'électrons à émission par effet de champ, à photo-émission ou à émission secondaire
  • H01J 37/26 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions
  • G02B 27/09 - Mise en forme du faisceau, p.ex. changement de la section transversale, non prévue ailleurs
  • H01J 40/06 - Cathodes photo-émissives
  • H01J 40/18 - Tubes à décharge photo-électrique n'impliquant pas l'ionisation d'un gaz à cathode photo-émissive, p.ex. cellule photo-électrique alcaline à revêtement luminescent pour influer sur la sensibilité du tube, p.ex. par conversion de la longueur d'onde à l'entrée
  • H01J 1/304 - Cathodes à émission d'électrons de champ
  • H01J 19/24 - Cathodes froides, p.ex. cathode à champ d'émission
  • H01J 37/06 - Sources d'électrons; Canons à électrons
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage
  • H01J 1/34 - Cathodes photo-émissives
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

72.

Metal encapsulated photocathode electron emitter

      
Numéro d'application 16259317
Numéro de brevet 10714295
Statut Délivré - en vigueur
Date de dépôt 2019-01-28
Date de la première publication 2020-03-19
Date d'octroi 2020-07-14
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Delgado, Gildardo R.
  • Ioakeimidi, Katerina
  • Hill, Frances
  • Lopez Lopez, Gary V.
  • Garcia, Rudy F.

Abrégé

2Te, CsKTe, CsI, CsBr, GaAs, GaN, InSb, CsKSb, or a metal, has a protective film on an exterior surface. The protective film includes one or more of ruthenium, nickel, platinum, chromium, copper, gold, silver, aluminum, or an alloy thereof. The protective film can have a thickness from 1 nm to 10 nm. The photocathode structure can be used in an electron beam tool like a scanning electron microscope.

Classes IPC  ?

  • H01J 40/06 - Cathodes photo-émissives
  • H01J 1/34 - Cathodes photo-émissives
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

73.

Using stochastic failure metrics in semiconductor manufacturing

      
Numéro d'application 16241467
Numéro de brevet 10818001
Statut Délivré - en vigueur
Date de dépôt 2019-01-07
Date de la première publication 2020-03-12
Date d'octroi 2020-10-27
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Leung, Wing-Shan Ribi
  • Sah, Kaushik
  • Park, Allen
  • Cross, Andrew

Abrégé

A stochastic calculation engine receives inputs from a semiconductor inspection tool or semiconductor review tool. The stochastic calculation engine determines abnormal locations and pattern variation from the inputs and determines stochastic failures from the inputs. An electronic data storage unit connected with the stochastic calculation engine can include a database with known stochastic behavior and known process metrology variations. The stochastic calculation engine can flag stochastic features, determine a failure rate, or determine fail probability.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

74.

Phase revealing optical and X-ray semiconductor metrology

      
Numéro d'application 16047818
Numéro de brevet 10677586
Statut Délivré - en vigueur
Date de dépôt 2018-07-27
Date de la première publication 2020-03-12
Date d'octroi 2020-06-09
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Hench, John
  • Veldman, Andrei

Abrégé

The embodiments disclosed herein can enable a target on a semiconductor wafer to be reconstructed and/or imaged. A surface of a target on a semiconductor wafer is measured using a wafer metrology tool. A voxel map of the surface is fixed to match geometry measurements and using scattering density of expected materials. Uniform scaling of the scattering density of all fixed surface voxels can occur.

Classes IPC  ?

  • G01N 21/94 - Recherche de souillures, p.ex. de poussières
  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • G01N 23/083 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en transmettant la radiation à travers le matériau et mesurant l'absorption le rayonnement consistant en rayons X
  • G06T 9/20 - Codage des contours, p.ex. utilisant la détection des contours
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

75.

System and method for fabricating semiconductor wafer features having controlled dimensions

      
Numéro d'application 16184898
Numéro de brevet 10796969
Statut Délivré - en vigueur
Date de dépôt 2018-11-08
Date de la première publication 2020-03-12
Date d'octroi 2020-10-06
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Quli, Farhat A.

Abrégé

A system and method are provided for fabricating semiconductor wafer features with controlled dimensions. In use, a top surface of a semiconductor wafer is identified. A first portion of the top surface of the semiconductor wafer is then vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall. Additionally, a film is uniformly deposited across the horizontal face and the vertical sidewall of the step. Further, the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

76.

High accuracy of relative defect locations for repeater analysis

      
Numéro d'application 16613787
Numéro de brevet 11067516
Statut Délivré - en vigueur
Date de dépôt 2018-05-14
Date de la première publication 2020-03-05
Date d'octroi 2021-07-20
Propriétaire KLA-Tencor Corp. (USA)
Inventeur(s)
  • Suman, Shishir
  • Wu, Kenong
  • Chen, Hong

Abrégé

Methods and systems for transforming positions of defects detected on a wafer are provided. One method includes aligning output of an inspection subsystem for a first frame in a first swath in a first die in a first instance of a multi-die reticle printed on the wafer to the output for corresponding frames, swaths, and dies in other reticle instances printed on the wafer. The method also includes determining different swath coordinate offsets for each of the frames, respectively, in the other reticle instances based on the swath coordinates of the output for the frames and the corresponding frames aligned thereto and applying one of the different swath coordinate offsets to the swath coordinates reported for the defects based on the other reticle instances in which they are detected thereby transforming the swath coordinates for the defects from swath coordinates in the other reticle instances to the first reticle instance.

Classes IPC  ?

  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 23/2251 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en mesurant l'émission secondaire de matériaux en utilisant des microsondes électroniques ou ioniques en utilisant des faisceaux d’électrons incidents, p.ex. la microscopie électronique à balayage [SEM]
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures

77.

Resistivity probes with curved portions

      
Numéro d'application 16679223
Numéro de brevet 11249110
Statut Délivré - en vigueur
Date de dépôt 2019-11-10
Date de la première publication 2020-03-05
Date d'octroi 2022-02-15
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Johnson, Iii, Walter H.
  • Zhu, Nanchang
  • Liu, Xianghua
  • Cui, Jianli
  • Shi, Zhu-Bin
  • Zhang, Zhuoxian
  • You, Haiyang
  • Yu, Lu
  • Shi, Jianou
  • Zhang, Fan

Abrégé

Resistivity probes can be used to test integrated circuits. In one example, a resistivity probe has a substrate with multiple vias and multiple metal pins. Each of the metal pins is disposed in one of the vias. The metal pins extend out of the substrate. Interconnects provide an electrical connection to the metal pins. In another example, a resistivity probe has a substrate with a top surface and multiple elements extending from the substrate. Each of the elements curves from the substrate to a tip of the element such that each of the elements is non-parallel to the top surface of the substrate.

Classes IPC  ?

78.

OFF-AXIS ILLUMINATION OVERLAY MEASUREMENT USING TWO-DIFFRACTED ORDERS IMAGING

      
Numéro d'application US2018065579
Numéro de publication 2020/046408
Statut Délivré - en vigueur
Date de dépôt 2018-12-14
Date de publication 2020-03-05
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Yoni, Shalibo
  • Paskover, Yuri
  • Levinski, Vladimir
  • Manassen, Amnon
  • Eisanbach, Shlomo
  • Laredo, Gilad
  • Hildesheim, Ariel

Abrégé

Metrology methods and tools are provided, which enhance the accuracy of the measurements and enable simplification of the measurement process as well as improving the correspondence between the metrology targets and the semiconductor devices. Methods comprise illuminating the target in a Littrow configuration to yield a first measurement signal comprising a -1st diffraction order and a 0th diffraction order and a second measurement signal comprising a +1st diffraction order and a 0th diffraction order, wherein the -1st diffraction order of the first measurement signal and the +1st diffraction order of the second measurement signal are diffracted at 180 to a direction of the illumination, performing a first measurement of the first measurement signal and a second measurement of the second measurement signal, and deriving metrology metric(s) therefrom. Optionally, a reflected 0th diffraction order may be split to yield components which interact with the -1st and +1st diffraction orders.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G02B 7/38 - Systèmes pour la génération automatique de signaux de mise au point utilisant des techniques liées à la netteté de l'image mesurée en différents points de l'axe optique
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • G01N 21/952 - Inspection de la surface extérieure de corps cylindriques ou de fils

79.

A MULTIPLE WORKING DISTANCE HEIGHT SENSOR USING MULTIPLE WAVELENGTHS

      
Numéro d'application US2019042307
Numéro de publication 2020/046488
Statut Délivré - en vigueur
Date de dépôt 2019-07-18
Date de publication 2020-03-05
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Pettibone, Donald

Abrégé

A system is disclosed. The system includes a stage assembly configured to receive a specimen and maintain a height of the specimen at a first working distance height during a first characterization mode and an additional working distance height during an additional characterization mode. The system further includes an illumination source configured to generate an illumination beam. The system further includes an illumination arm including a set of optical elements configured to direct a portion of the illumination beam including illumination of a first wavelength to the specimen during the first characterization mode, and direct a portion of the illumination beam including illumination of an additional wavelength to the specimen during the additional characterization mode. The system further includes a detector assembly configured to receive illumination emanated from the specimen, and a controller configured to determine a specimen height value based on the illumination received by the detector assembly.

Classes IPC  ?

  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur

80.

REDUCING DEVICE OVERLAY ERRORS

      
Numéro d'application US2018044453
Numéro de publication 2020/027784
Statut Délivré - en vigueur
Date de dépôt 2018-07-30
Date de publication 2020-02-06
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Yerushalmi, Liran
  • Volkovich, Roie

Abrégé

Process control methods, metrology targets and production systems are provided for reducing or eliminating process overlay errors. Metrology targets have pair(s) of periodic structures with different segmentations, e.g., no segmentation in one periodic structure and device-like segmentation in the other periodic structure of the pair. Process control methods derive metrology measurements from the periodic structures at the previous layer directly following the production thereof, and prior to production of the periodic structures at the current layer, and use the derived measurements to adjust lithography stage(s) that is part of production of the current layer. Production system integrate lithography tool(s) and metrology tool(s) into a production feedback loop that enables layer-by-layer process adjustments.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

81.

PLASMA SOURCE WITH LAMP HOUSE CORRECTION

      
Numéro d'application US2019043833
Numéro de publication 2020/028208
Statut Délivré - en vigueur
Date de dépôt 2019-07-29
Date de publication 2020-02-06
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Zhang, Shiyu
  • Wang, Mark S
  • Bezel, Ilya

Abrégé

A plasma light source with lamp house correction is disclosed. The system may include a pump source configured to generate pump illumination. The pump illumination may be directed, by an elliptical reflector element, to a volume of gas contained within a plasma lamp in order to generate a plasma. The plasma may be configured to generate broadband illumination. The system may also include a correction plate and/or an aspherical elliptical reflector element configured to alter the pump illumination to correct for aberrations introduced by the plasma lamp. The system may also include an additional aspherical correction plate configured to alter the broadband illumination to correct for aberrations introduced by optical elements of the system.

Classes IPC  ?

  • H01J 61/02 - Lampes à décharge dans un gaz ou dans une vapeur - Détails
  • H01J 65/04 - Lampes à atmosphère gazeuse portée à la luminescence par un champ électromagnétique extérieur ou par une radiation corpusculaire extérieure, p.ex. lampe indicatrice

82.

Chromatic confocal area sensor

      
Numéro d'application 16513091
Numéro de brevet 10866092
Statut Délivré - en vigueur
Date de dépôt 2019-07-16
Date de la première publication 2020-01-30
Date d'octroi 2020-12-15
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Wouters, Christophe
  • Joris, Kristof
  • De Greeve, Johan

Abrégé

3D measurements of features on a workpiece, such as ball height, co-planarity, component thickness, or warpage, are determined. The system includes a broadband light source, a microlens array, a tunable color filter, a lens system, and a detector. The microlens array can focus a light beam to points in a focal plane of the microlens array. The tunable color filter can narrow the light beam to a band at a central wavelength. The lens system can provide longitudinal chromatic aberration whereby different wavelengths are imaged at different distances from the lens system.

Classes IPC  ?

  • G01B 11/28 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des superficies

83.

PHASE REVEALING OPTICAL AND X-RAY SEMICONDUCTOR METROLOGY

      
Numéro d'application US2019043541
Numéro de publication 2020/023810
Statut Délivré - en vigueur
Date de dépôt 2019-07-26
Date de publication 2020-01-30
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Hench, John
  • Veldman, Andrei

Abrégé

The embodiments disclosed herein can enable a target on a semiconductor wafer to be reconstructed and/or imaged. A surface of a target on a semiconductor wafer is measured using a wafer metrology tool. A voxel map of the surface is fixed to match geometry measurements and using scattering density of expected materials. Uniform scaling of the scattering density of all fixed surface voxels can occur.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

84.

Reducing Device Overlay Errors

      
Numéro d'application 16077214
Statut En instance
Date de dépôt 2018-07-30
Date de la première publication 2020-01-30
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Yerushalmi, Liran
  • Volkovich, Roie

Abrégé

Process control methods, metrology targets and production systems are provided for reducing or eliminating process overlay errors. Metrology targets have pair(s) of periodic structures with different segmentations, e.g., no segmentation in one periodic structure and device-like segmentation in the other periodic structure of the pair. Process control methods derive metrology measurements from the periodic structures at the previous layer directly following the production thereof, and prior to production of the periodic structures at the current layer, and use the derived measurements to adjust lithography stage(s) that is part of production of the current layer. Production system integrate lithography tool(s) and metrology tool(s) into a production feedback loop that enables layer-by-layer process adjustments.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

85.

CHROMATIC CONFOCAL AREA SENSOR

      
Numéro d'application US2019042897
Numéro de publication 2020/023427
Statut Délivré - en vigueur
Date de dépôt 2019-07-23
Date de publication 2020-01-30
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Wouters, Christophe
  • Joris, Kristof
  • De Greeve, Johan

Abrégé

3D measurements of features on a workpiece, such as ball height, co-planarity, component thickness, or warpage, are determined. The system includes a broadband light source, a microlens array, a tunable color filter, a lens system, and a detector. The microlens array can focus a light beam to a points in a focal plane of the microlens array. The tunable color filter can narrow the light beam to a band at a central wavelength. The lens system can provide longitudinal chromatic aberration whereby different wavelengths are imaged at different distances from the lens system.

Classes IPC  ?

  • G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes
  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G02B 7/02 - Montures, moyens de réglage ou raccords étanches à la lumière pour éléments optiques pour lentilles
  • G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,
  • G02B 21/00 - Microscopes

86.

Ultra-high sensitivity hybrid inspection with full wafer coverage capability

      
Numéro d'application 16272905
Numéro de brevet 10545099
Statut Délivré - en vigueur
Date de dépôt 2019-02-11
Date de la première publication 2020-01-28
Date d'octroi 2020-01-28
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Chen, Grace
  • Muray, Lawrence

Abrégé

Disclosed are apparatus and methods for detecting defects on a semiconductor sample. An optical inspector is first used to inspect a semiconductor sample with an aggressively predefined threshold selected to detect candidate defect and nuisance sites at corresponding locations across the sample. A high-resolution distributed probe inspector includes an array of miniature probes that are moved relative to the sample to scan and obtain a high-resolution image of each site to detect and separate the candidate defect sites from the nuisance sites. A higher-resolution probe is then used to obtain a higher-resolution image of each candidate site to obtain a high-resolution image of each site to separate real defects that adversely impact operation of any devices on the sample from the candidate defects.

Classes IPC  ?

  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

87.

MULTIMODE DEFECT CLASSIFICATION IN SEMICONDUCTOR INSPECTION

      
Numéro d'application US2019042500
Numéro de publication 2020/018856
Statut Délivré - en vigueur
Date de dépôt 2019-07-19
Date de publication 2020-01-23
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Chen, Grace H.
  • Poudel, Amrit
  • Gaind, Vaibhav
  • Wang, Mark S

Abrégé

A semiconductor-inspection tool scans a semiconductor die using a plurality of optical modes. A plurality of defects on the semiconductor die are identified based on results of the scanning. Respective defects of the plurality of defects correspond to respective pixel sets of the semiconductor-inspection tool. The scanning fails to resolve the respective defects. The results include multi-dimensional data based on pixel intensity for the respective pixel sets, wherein each dimension of the multi-dimensional data corresponds to a distinct mode of the plurality of optical modes. A discriminant function is applied to the results to transform the multi-dimensional data for the respective pixel sets into respective scores. Based at least in part on the respective scores, the respective defects are divided into distinct classes.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/00 - Analyse d'image
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01N 21/89 - Recherche de la présence de criques, de défauts ou de souillures dans un matériau mobile, p.ex. du papier, des textiles

88.

MAGNETICALLY MICROFOCUSED ELECTRON EMISSION SOURCE

      
Numéro d'application US2019040205
Numéro de publication 2020/010028
Statut Délivré - en vigueur
Date de dépôt 2019-07-01
Date de publication 2020-01-09
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Sears, Christopher

Abrégé

A magnetically microfocused electron emission source apparatus is disclosed. The apparatus may include a magnetic emitter unit, wherein the magnetic emitter unit comprises an emitter. Further, the magnetic emitter unit may include one or more magnetic portions formed from one or more magnetic materials, wherein the one or more magnetic portions of the magnetic emitter unit are configured to generate a magnetic field proximate to a tip of the emitter of the magnetic emitter unit for enhancing focusing of the emitted electrons from the electron emitter.

Classes IPC  ?

  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée
  • H01J 37/285 - Microscopes à émission, p.ex. microscopes à émission de champ
  • H01J 1/304 - Cathodes à émission d'électrons de champ

89.

DUAL-INTERFEROMETRY SAMPLE THICKNESS GAUGE

      
Numéro d'application US2019040213
Numéro de publication 2020/010031
Statut Délivré - en vigueur
Date de dépôt 2019-07-02
Date de publication 2020-01-09
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s) Safrani, Avner

Abrégé

A thickness measurement system may include an illumination source, a beam splitter to split illumination from the illumination source into two beams, a translation stage configured to translate a reference sample along a measurement direction, a first interferometer to generate a first interferogram between a first surface of a test sample and a first surface of the reference sample, and a second interferometer to generate a second interferogram between a second surface of the test sample and a second surface of the reference sample. A thickness measurement system may further include a controller to receive interference signals from the first and second interferometers as the translation stage scans the reference sample, and determine a thickness of the test sample based on the thickness of the reference sample and a distance travelled by the translation stage between peaks of envelopes of the interference signals.

Classes IPC  ?

  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • G01B 9/02 - Interféromètres

90.

Haze mask system for haze suppression

      
Numéro d'application 16271506
Numéro de brevet 10522426
Statut Délivré - en vigueur
Date de dépôt 2019-02-08
Date de la première publication 2019-12-31
Date d'octroi 2019-12-31
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Yuan, Hongxing
  • Pokras, Dimitry
  • Vanhoomissen, William
  • Chan, Douglas

Abrégé

This system and method minimize an effect of haze to signal-to-noise ratio and compensate for haze on the haze map. A first mask with a first aperture is disposed along the path of the light beam between a light source and a collector. A first actuator moves the first mask along a tangential direction. A second mask with a second aperture is disposed along the path of the light beam between the first mask and the collector. A second actuator moves the second mask along a radial direction perpendicular to the tangential direction. The first mask and the second mask are independently movable along the tangential direction and the radial direction using the first actuator and the second actuator.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01B 11/30 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la rugosité ou l'irrégularité des surfaces

91.

Hybrid design layout to identify optical proximity correction-related systematic defects

      
Numéro d'application 16200060
Numéro de brevet 10796065
Statut Délivré - en vigueur
Date de dépôt 2018-11-26
Date de la première publication 2019-12-26
Date d'octroi 2020-10-06
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Park, Allen
  • Jain, Ankit

Abrégé

Defects can be identified using a hybrid design layout that includes a printable layer and a non-printed layer. The hybrid design layout can be generated by incorporating at least a portion of the non-printable layer layout with the printable layer layout. Defects can be identified using optical or scanning electron beam images.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G03F 1/70 - Adaptation du tracé ou de la conception de base du masque aux exigences du procédé lithographique, p.ex. correction par deuxième itération d'un motif de masque pour l'imagerie
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 1/36 - Masques à correction d'effets de proximité; Leur préparation, p.ex. procédés de conception à correction d'effets de proximité [OPC optical proximity correction]

92.

SLOTTED ELECTROSTATIC CHUCK

      
Numéro d'application US2019036439
Numéro de publication 2019/245791
Statut Délivré - en vigueur
Date de dépôt 2019-06-11
Date de publication 2019-12-26
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Balan, Aviv
  • Jiang, Haoran

Abrégé

An assembly for clamping semiconductor wafers includes a plate and an electrostatic chuck mounted on the plate. A plurality of slots extends between respective portions of the electrostatic chuck to receive arms of an end-effector of a wafer-handler. The arms of the end-effector support semiconductor wafers being placed onto and removed from the electrostatic chuck.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H02N 13/00 - Embrayages ou dispositifs de maintien utilisant l'attraction électrostatique, p.ex. utilisant l'effet Johnson-Rahbek
  • B23Q 3/15 - Dispositifs pour tenir les pièces magnétiquement ou électriquement
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

93.

HYBRID DESIGN LAYOUT TO IDENTIFY OPTICAL PROXIMITY CORRECTION-RELATED SYSTEMATIC DEFECTS

      
Numéro d'application US2019036640
Numéro de publication 2019/245806
Statut Délivré - en vigueur
Date de dépôt 2019-06-12
Date de publication 2019-12-26
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Park, Allen
  • Jain, Ankit

Abrégé

Defects can be identified using a hybrid design layout that includes a printable layer and a non-printed layer. The hybrid design layout can be generated by incorporating at least a portion of the non-printable layer layout with the printable layer layout. Defects can be identified using optical or scanning electron beam images.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface

94.

PROCESS AND METROLOGY CONTROL, PROCESS INDICATORS AND ROOT CAUSE ANALYSIS TOOLS BASED ON LANDSCAPE INFORMATION

      
Numéro d'application US2019036868
Numéro de publication 2019/245829
Statut Délivré - en vigueur
Date de dépôt 2019-06-13
Date de publication 2019-12-26
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Volkovich, Roie
  • Abramovitz, Yaniv

Abrégé

e.g.e.g., using training wafers.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

95.

CORRELATING SEM AND OPTICAL IMAGES FOR WAFER NOISE NUISANCE IDENTIFICATION

      
Numéro d'application US2019036872
Numéro de publication 2019/245830
Statut Délivré - en vigueur
Date de dépôt 2019-06-13
Date de publication 2019-12-26
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Zhang, Qiang
  • Chen, Grace H.

Abrégé

Disclosed are apparatus and methods for inspecting a semiconductor sample. Locations corresponding to candidate defect events on a semiconductor sample are provided from an optical inspector operable to acquire optical images from which such candidate defect events are detected at their corresponding locations across the sample. High-resolution images are acquired from a high-resolution inspector of the candidate defect events at their corresponding locations on the sample. Each of a set of modelled optical images, which have been modeled from a set of the acquired high-resolution images, is correlated with corresponding ones of a set of the acquired optical images, to identify surface noise events, as shown in the set of high-resolution images, as sources for the corresponding candidate events in the set of acquired optical images. Otherwise, a subsurface event is identified as a likely source for a corresponding candidate defect event.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G06T 7/00 - Analyse d'image
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 23/2251 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en mesurant l'émission secondaire de matériaux en utilisant des microsondes électroniques ou ioniques en utilisant des faisceaux d’électrons incidents, p.ex. la microscopie électronique à balayage [SEM]

96.

Process and metrology control, process indicators and root cause analysis tools based on landscape information

      
Numéro d'application 16013344
Numéro de brevet 10962951
Statut Délivré - en vigueur
Date de dépôt 2018-06-20
Date de la première publication 2019-12-26
Date d'octroi 2021-03-30
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Volkovich, Roie
  • Abramovitz, Yaniv

Abrégé

Methods and metrology modules are provided, which derive landscape information (expressing relation(s) between metrology metric(s) and measurement parameters) from produced wafers, identifying therein indications for production process changes, and modify production process parameters with respect to the identified indications, to maintain the production process within specified requirements. Process changes may be detected in wafer(s), wafer lot(s) and batches, and the information may be used to detect root causes for the changes with respect to production tools and steps and to indicate tool aging and required maintenance. The information and its analysis may further be used to optimize the working point parameters, to optimizing designs of devices and/or targets and/or to train corresponding algorithms to perform the identifying, e.g., using training wafers.

Classes IPC  ?

  • G05B 19/401 - Commande numérique (CN), c.à d. machines fonctionnant automatiquement, en particulier machines-outils, p.ex. dans un milieu de fabrication industriel, afin d'effectuer un positionnement, un mouvement ou des actions coordonnées au moyen de données d'u caractérisée par des dispositions de commande pour la mesure, p.ex. étalonnage et initialisation, mesure de la pièce à usiner à des fins d'usinage

97.

EMBEDDED PARTICLE DEPTH BINNING BASED ON MULTIPLE SCATTERING SIGNALS

      
Numéro d'application US2019036432
Numéro de publication 2019/245785
Statut Délivré - en vigueur
Date de dépôt 2019-06-11
Date de publication 2019-12-26
Propriétaire KLA-TENCOR CORPORATION (USA)
Inventeur(s)
  • Zhang, Haiping
  • Yu, Alex (gang)

Abrégé

An inspection system may include an illumination source to generate an illumination beam, illumination optics to direct the illumination beam to a sample. The system may further include a first collection channel to collect light from the sample within a first range of solid angles and at a first selected polarization. The system may further include a second collection channel to collect light from the sample within a second angular range, the second range of solid angles and at a second selected polarization. The system may further include a controller to receive two or more scattering signals. The scattering signals may include signals from the first and second collection channels having selected polarizations. The controller may further determine depths of defects in the sample based on comparing the two or more scattering signals to training data including data from a training sample having known defects at known depths.

Classes IPC  ?

  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • G01N 21/01 - Dispositions ou appareils pour faciliter la recherche optique
  • G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes

98.

BACK-ILLUMINATED SENSOR AND A METHOD OF MANUFACTURING A SENSOR

      
Numéro d'application US2019037549
Numéro de publication 2019/245999
Statut Délivré - en vigueur
Date de dépôt 2019-06-17
Date de publication 2019-12-26
Propriétaire
  • KLA-TENCOR CORPORATION (USA)
  • HAMAMATSU PHOTONICS K.K. (Japon)
Inventeur(s)
  • Chuang, Yung-Ho, Alex
  • Zhang, Jingjing
  • Fielden, John
  • Brown, David, L.
  • Muramatsu, Masaharu
  • Yoneta, Yasuhito
  • Otsuka, Shinya

Abrégé

An image sensor for electrons or short-wavelength light includes a semiconductor membrane, circuit elements formed on one surface of the semiconductor membrane, and a pure boron layer on the other surface of the semiconductor membrane. The circuit elements are connected by metal interconnects comprising a refractory metal. An anti-reflection or protective layer may be formed on top of the pure boron layer. This image sensor has high efficiency and good stability even under continuous use at high flux for multiple years. The image sensor may be fabricated using CCD (charge coupled device) or CMOS (complementary metal oxide semiconductor) technology. The image sensor may be a two-dimensional area sensor, or a one-dimensional array sensor.

Classes IPC  ?

  • H01J 40/06 - Cathodes photo-émissives
  • H01J 43/08 - Dispositions de cathodes
  • H01L 31/0216 - Revêtements
  • H01L 31/103 - Dispositifs sensibles au rayonnement infrarouge, visible ou ultraviolet caractérisés par une seule barrière de potentiel ou de surface la barrière de potentiel étant du type PN à homojonction

99.

Pick-and-place head and method for picking work-pieces

      
Numéro d'application 16555578
Numéro de brevet 11020862
Statut Délivré - en vigueur
Date de dépôt 2019-08-29
Date de la première publication 2019-12-19
Date d'octroi 2021-06-01
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s) Truyens, Carl

Abrégé

A pick-and-place head for picking a plurality of work-pieces from at least one first location and for placing the plurality of work-pieces at least one second location is disclosed. The pick-and-place head exhibits a plurality of nozzles, wherein each nozzle is configured to engage one of the work-pieces by action of a vacuum. At least one nozzle has an individual vacuum supply and at least two further nozzles have a shared vacuum supply. A corresponding method is also disclosed, the method including the steps of approaching at least one of the plurality of work-pieces with a respective nozzle and then starting generation of a vacuum at each respective nozzle. The generation of vacuum in at least one nozzle is achieved by an individual vacuum supply, and generation of vacuum in at least two further nozzles is achieved by a shared vacuum supply of the at least two further nozzles.

Classes IPC  ?

  • B65G 47/91 - Dispositifs pour saisir et déposer les articles ou les matériaux comportant des pinces pneumatiques, p.ex. aspirantes
  • B25J 15/00 - Têtes de préhension
  • B25J 15/06 - Têtes de préhension avec moyens de retenue magnétiques ou fonctionnant par succion

100.

Embedded particle depth binning based on multiple scattering signals

      
Numéro d'application 16165742
Numéro de brevet 10732130
Statut Délivré - en vigueur
Date de dépôt 2018-10-19
Date de la première publication 2019-12-19
Date d'octroi 2020-08-04
Propriétaire KLA-Tencor Corporation (USA)
Inventeur(s)
  • Zhang, Haiping
  • Yu, Gang

Abrégé

An inspection system may include an illumination source to generate an illumination beam, illumination optics to direct the illumination beam to a sample. The system may further include a first collection channel to collect light from the sample within a first range of solid angles and at a first selected polarization. The system may further include a second collection channel to collect light from the sample within a second angular range, the second range of solid angles and at a second selected polarization. The system may further include a controller to receive two or more scattering signals. The scattering signals may include signals from the first and second collection channels having selected polarizations. The controller may further determine depths of defects in the sample based on comparing the two or more scattering signals to training data including data from a training sample having known defects at known depths.

Classes IPC  ?

  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01N 21/88 - Recherche de la présence de criques, de défauts ou de souillures
  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  1     2     3     ...     26        Prochaine page