Applied Materials, Inc.

United States of America

Back to Profile

1-100 of 18,534 for Applied Materials, Inc. and 10 subsidiaries Sort by
Query
Aggregations
IP Type
        Patent 17,890
        Trademark 644
Jurisdiction
        United States 10,058
        World 8,418
        Europe 30
        Canada 28
Owner / Subsidiary
[Owner] Applied Materials, Inc. 16,587
Varian Semiconductor Equipment Associates, Inc. 1,282
Applied Materials Israel, Ltd. 549
Applied Materials Italia S.R.L. 82
Applied Materials GmbH & Co. KG 35
See more
Date
New (last 4 weeks) 187
2024 April (MTD) 135
2024 March 148
2024 February 149
2024 January 128
See more
IPC Class
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components 3,079
H01J 37/32 - Gas-filled discharge tubes 2,511
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof 2,342
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber 1,411
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping 1,229
See more
NICE Class
07 - Machines and machine tools 341
09 - Scientific and electric apparatus and instruments 324
37 - Construction and mining; installation and repair services 66
42 - Scientific, technological and industrial services, research and design 48
40 - Treatment of materials; recycling, air and water treatment, 41
See more
Status
Pending 2,232
Registered / In Force 16,302
  1     2     3     ...     100        Next Page

1.

SELECTIVE TRENCH MODIFICATION USING DIRECTIONAL ETCH

      
Application Number 17969333
Status Pending
Filing Date 2022-10-18
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Andersen, Tassie
  • Liang, Shurong

Abstract

Disclosed herein are approaches for device modification, namely, trench elongation. In one approach, a method may include providing a substrate including a plurality of surface features defining a plurality of trenches, wherein a first trench has a first trench length extending in a first direction, wherein a second trench connected to the first trench has a second trench length extending in a second direction, and wherein the first direction and the second direction are non-parallel. The method may further include delivering ions into the substrate in a reactive ion etching process, wherein the ions are delivered at a non-zero angle relative to a perpendicular extending from the substrate, and wherein the reactive ion etching process increases the first trench length of the first trench without increasing the second trench length of the second trench.

IPC Classes  ?

2.

IMPEDANCE CONTROL OF LOCAL AREAS OF A SUBSTRATE DURING PLASMA DEPOSITION THEREON IN A LARGE PECVD CHAMBER

      
Application Number 17971205
Status Pending
Filing Date 2022-10-20
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Ye, Zheng John
  • Lam, Andrew C.
  • Zhao, Zeqiong
  • Zhou, Jianhua
  • An, Hshiang
  • Anwar, Suhail
  • Nakajima, Yoshitake
  • Chang, Fu-Ting

Abstract

Embodiments of the present disclosure generally relate to methods and apparatus for measuring and controlling local impedances at a substrate support in a plasma processing chamber during processing of a substrate. A substrate support includes a plurality of substrate support pins wherein the radio frequency voltage, current and phase of each of the plurality of substrate support pins are measured and impedances of the support pins are adjusted in real time. Each of the substrate support pins is coupled to an associated adjustable impedance circuit that may be remotely controlled. In one embodiment a variable capacitor is used to adjust the impedance of the impedance circuit coupled to the associated substrate support pin and may be remotely adjusted with a stepper motor. In another embodiment a microcontroller may control the impedance adjustments for all of the plurality of substrate support pins and may be used to track these impedances with each other and with a bulk impedance of the plasma processing chamber.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
  • C23C 16/505 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating using electric discharges using radio frequency discharges
  • C23C 16/52 - Controlling or regulating the coating process

3.

PROCESS CHAMBER WITH REFLECTOR

      
Application Number 17971494
Status Pending
Filing Date 2022-10-20
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Moradian, Ala
  • Tavakoli, Amir H.
  • Reimer, Peter
  • Lau, Shu-Kwan

Abstract

A reflector and processing chamber having the same are described herein. In one example, a reflector is provided that includes cylindrical body, a cooling channel, and a reflective coating. The cylindrical body has an upper surface and a lower surface. The lower surface has a plurality of concave reflector structures disposed around a centerline of the cylindrical body. The cooling channel disposed in or on the cylindrical body. The reflective coating is disposed on the plurality of concave reflector structures.

IPC Classes  ?

  • G02B 7/182 - Mountings, adjusting means, or light-tight connections, for optical elements for mirrors for mirrors
  • C30B 35/00 - Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
  • G02B 1/14 - Protective coatings, e.g. hard coatings
  • G02B 7/18 - Mountings, adjusting means, or light-tight connections, for optical elements for mirrors
  • G02B 17/00 - Systems with reflecting surfaces, with or without refracting elements

4.

HEAT SOURCE ARRANGEMENTS, PROCESSING CHAMBERS, AND RELATED METHODS TO FACILITATE DEPOSITION PROCESS ADJUSTABILITY

      
Application Number 17971338
Status Pending
Filing Date 2022-10-20
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Moradian, Ala
  • Chopra, Saurabh

Abstract

The present disclosure relates to heat source arrangements, processing chambers, and related methods to facilitate deposition process adjustability. In one implementation, a processing chamber applicable for use in semiconductor manufacturing includes a lower window and an upper window. The lower window and the upper window at least partially define an internal volume. The processing chamber includes a substrate support disposed in the internal volume, and the substrate support includes a support face. The processing chamber includes one or more inner heat sources. Each inner heat source of the one or more inner heat sources is oriented substantially parallel to a surface of the support face. The processing chamber includes one or more outer heat sources disposed outwardly of the inner heat sources. Each outer heat source of the one or more outer heat sources is oriented nonparallel to the surface of the support face.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

5.

TOTAL OR LOCAL THICKNESS VARIATION FOR OPTICAL DEVICES

      
Application Number US2023035423
Publication Number 2024/086231
Status In Force
Filing Date 2023-10-18
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Luo, Yingdong
  • Yao, Zhengping
  • Zhang, Daihua
  • Sell, David, Alexander
  • Yang, Jingyi
  • Deng, Xiaopei
  • Messer, Kevin
  • Bhargava, Samarth
  • Hourani, Rami
  • Godet, Ludovic

Abstract

Embodiments of the present disclosure generally relate to methods for forming a waveguide. Methods may include measuring a waveguide substrate, the waveguide having a substrate thickness distribution; and depositing an index-matched layer onto a surface of the waveguide, the index-matched layer having a first surface disposed on the waveguide substrate and a second surface opposing the first surface, wherein the index-matched layer is disposed only over a portion of the waveguide substrate, and a device slope of a second surface of the index-matched layer is substantially the same as the waveguide slope of the first surface of the waveguide.

IPC Classes  ?

  • G02B 6/00 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
  • G02B 27/01 - Head-up displays

6.

GRAY TONE UNIFORMITY CONTROL OVER SUBSTRATE TOPOGRAPHY

      
Application Number US2023077080
Publication Number 2024/086571
Status In Force
Filing Date 2023-10-17
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Wang, Yingchiao
  • Tsai, Chi-Ming
  • Chuang, Chun-Chih
  • Hu, Yung Peng

Abstract

Embodiments of the present disclosure generally relate to lithography systems. More particularly, embodiments of the present disclosure relate to a method, a system, and a software application for a lithography process to control transmittance rate of write beams and write gray tone patterns in a single exposure operation. In one embodiment, a plurality of shots are provided by an image projection system in a lithography system to a photoresist layer. The plurality of shots exposes the photoresist layer to an intensity of light emitted from the image projection system. The local transmittance rate of the plurality of shots within an exposure area is varied to form varying step heights in the exposure area of the photoresist layer.

IPC Classes  ?

7.

SACRIFICIAL SOURCE/DRAIN FOR METALLIC SOURCE/DRAIN HORIZONTAL GATE ALL AROUND ARCHITECTURE

      
Application Number US2023035084
Publication Number 2024/086064
Status In Force
Filing Date 2023-10-13
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Breil, Nicolas
  • Lee, Byeong Chan

Abstract

Semiconductor devices and methods of manufacturing the same are described. The method includes forming a source region and a drain region adjacent a superlattice structure on a substrate. The source region and the drain region comprise a metallic silicide material. In some embodiments, a sacrificial material is first deposited and then removed to form a metallic silicide material in the source and drain region.

IPC Classes  ?

8.

HEAT SOURCE ARRANGEMENTS, PROCESSING CHAMBERS, AND RELATED METHODS TO FACILITATE DEPOSITION PROCESS ADJUSTABILITY

      
Application Number US2023017849
Publication Number 2024/085915
Status In Force
Filing Date 2023-04-07
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Moradian, Ala
  • Chopra, Saurabh

Abstract

The present disclosure relates to heat source arrangements, processing chambers, and related methods to facilitate deposition process adjustability. In one implementation, a processing chamber applicable for use in semiconductor manufacturing includes a lower window and an upper window. The lower window and the upper window at least partially define an internal volume. The processing chamber includes a substrate support disposed in the internal volume, and the substrate support includes a support face. The processing chamber includes one or more inner heat sources. Each inner heat source of the one or more inner heat sources is oriented substantially parallel to a surface of the support face. The processing chamber includes one or more outer heat sources disposed outwardly of the inner heat sources. Each outer heat source of the one or more outer heat sources is oriented nonparallel to the surface of the support face.

IPC Classes  ?

  • C30B 25/10 - Heating of the reaction chamber or the substrate
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • C23C 16/48 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation

9.

SCALING FOR DIE-LAST ADVANCED IC PACKAGING

      
Application Number US2023034814
Publication Number 2024/086042
Status In Force
Filing Date 2023-10-10
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Chen, Jang Fung
  • Laidig, Thomas L.
  • Kang, Chung-Shin
  • Tsai, Chi-Ming
  • Shen, William

Abstract

Embodiments of the present disclosure relate to a system, a software application, and methods of digital lithography for semiconductor packaging. The method includes comparing positions of vias and via locations, generating position data based on the comparing the positions of vias and the via locations, providing the position data of the vias to a digital lithography device, updating a redistributed metal layer (RDL) mask pattern according to the position data such that RDL locations correspond to the positions of the vias, and projecting the RDL mask pattern with the digital lithography device.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • G03F 7/20 - Exposure; Apparatus therefor

10.

PROCESS CHAMBER WITH REFLECTOR

      
Application Number US2023017763
Publication Number 2024/085913
Status In Force
Filing Date 2023-04-06
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Moradian, Ala
  • Tavakoli, Amir H.
  • Reimer, Peter
  • Lau, Shu-Kwan

Abstract

A reflector and processing chamber having the same are described herein. In one example, a reflector is provided that includes cylindrical body, a cooling channel, and a reflective coating. The cylindrical body has an upper surface and a lower surface. The lower surface has a plurality of concave reflector structures disposed around a centerline of the cylindrical body. The cooling channel disposed in or on the cylindrical body. The reflective coating is disposed on the plurality of concave reflector structures.

IPC Classes  ?

  • C30B 25/10 - Heating of the reaction chamber or the substrate
  • C23C 16/48 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

11.

IMPROVED CHANNEL UNIFORMITY HORIZONTAL GATE ALL AROUND DEVICE

      
Application Number US2023032101
Publication Number 2024/085972
Status In Force
Filing Date 2023-09-06
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Fronheiser, Jody
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian
  • Liu, Lequn

Abstract

A method of forming a multi-layer semiconductor device on a substrate includes forming a superlattice of a plurality of alternating first layers composed of a first material and second layers formed of a second material, removing the second layers of the superlattice, etching the first material layers to form trimmed first layers therefrom, wherein the quantity of material removed from different ones of the first layers are different amounts, forming a capping layer over the first layers, measuring at least one of the distance between the capping layers formed on the different ones of the first layers, the thicknesses of the different ones of the capping layers formed on different ones of the trimmed first layers, and the different thicknesses of the combined thickness of different ones of the trimmed first layers and the capping layer formed thereover, and based on differences in the measurements, calculating a new thickness of the etched first layers.

IPC Classes  ?

  • H01L 21/306 - Chemical or electrical treatment, e.g. electrolytic etching
  • H01L 21/311 - Etching the insulating layers
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

12.

MODIFYING PATTERNED FEATURES USING A DIRECTIONAL ETCH

      
Application Number 17969368
Status Pending
Filing Date 2022-10-18
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Andersen, Tassie
  • Liang, Shurong

Abstract

Disclosed herein are approaches for modifying patterned features using a directional etch. In one approach, a method may include providing a stack of layers of a semiconductor device, forming an opening through the stack of layers, the opening defined by a first sidewall and a second sidewall, and delivering ions into the first sidewall in a reactive ion etching process. The ions maybe delivered at a first non-zero angle relative to a perpendicular extending from the substrate, wherein the reactive ion etching process removes a first portion of the stack of layers from just a lower section of the first sidewall.

IPC Classes  ?

  • H01L 21/311 - Etching the insulating layers
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

13.

PHASE RETRIEVAL

      
Application Number 17972339
Status Pending
Filing Date 2022-10-23
First Publication Date 2024-04-25
Owner Applied Materials Israel Ltd. (Israel)
Inventor
  • Kirshner, Benny
  • Golberg, Boris

Abstract

A method for phase retrieval, the method may include (a) obtaining multiple out-of-focus intensity images of one or more point spread function targets; wherein the out-of-focus intensity images are generated by based on residual collected light signals obtained by a residual collection channel of an optical unit having a numerical aperture that exceeds 0.8; and (b) calculating phase information, based on the multiple out-of-focus intensity images and on a vectorial model of the point spread function.

IPC Classes  ?

  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

14.

CHANNEL UNIFORMITY HORIZONTAL GATE ALL AROUND DEVICE

      
Application Number 18462242
Status Pending
Filing Date 2023-09-06
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Fronheiser, Jody
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian
  • Liu, Lequn

Abstract

A method of forming a multi-layer semiconductor device on a substrate includes forming a superlattice of a plurality of alternating first layers composed of a first material and second layers formed of a second material, removing the second layers of the superlattice, etching the first material layers to form trimmed first layers therefrom, wherein the quantity of material removed from different ones of the first layers are different amounts, forming a capping layer over the first layers, measuring at least one of the distance between the capping layers formed on the different ones of the first layers, the thicknesses of the different ones of the capping layers formed on different ones of the trimmed first layers, and the different thicknesses of the combined thickness of different ones of the trimmed first layers and the capping layer formed thereover, and based on differences in the measurements, calculating a new thickness of the etched first layers.

IPC Classes  ?

  • H01L 21/8234 - MIS technology
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 29/15 - Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched

15.

GAS DELIVERY PALLET ASSEMBLY, CLEANING UNIT AND CHEMICAL MECHANICAL POLISHING SYSTEM HAVING THE SAME

      
Application Number 17970434
Status Pending
Filing Date 2022-10-19
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor Velazquez, Edwin

Abstract

A modular gas pallet assembly is disclosed herein, along with a cleaning unit and chemical mechanical polisher having the same. In one example, the gas pallet assembly includes three outlets and two or less inlets. The gas pallet assembly has first and second primary gas conduits secured to a first mounting plate. The second primary gas conduits is split into two branches, each having their own flow control. The modular gas pallet assembly is configured to provide gas towards a base plate of a substrate cleaner, to substrate gripping pins of the substrate cleaner, and to a bottom of a substrate held by the substrate gripping pins of the substrate cleaner.

IPC Classes  ?

  • B08B 5/02 - Cleaning by the force of jets, e.g. blowing-out cavities
  • B24B 37/30 - Work carriers for single side lapping of plane surfaces
  • B24B 53/017 - Devices or means for dressing, cleaning or otherwise conditioning lapping tools

16.

METHOD FOR CREATING A SMOOTH DIAGONAL SURFACE USING A FOCUSED ION BEAM AND AN INNOVATIVE SCANNING STRATEGY

      
Application Number 17972427
Status Pending
Filing Date 2022-10-23
First Publication Date 2024-04-25
Owner APPLIED MATERIALS ISRAEL LTD. (Israel)
Inventor Zur, Yehuda

Abstract

A method of milling a diagonal cut in a region of a sample, the method comprising: positioning the sample in a processing chamber having a charged particle beam column; moving the region of the sample under a field of view of the charged particle column; generating a charged particle beam with the charged particle beam column and scanning the charged particle beam over the region of the sample along scan lines arranged parallel to a slope of the diagonal cut; and repeating the generating and scanning step a plurality of times to mill the diagonal cut in the region of the sample; wherein, for each iteration of the generating and scanning steps, a velocity of the charged particle beam is slower when the beam is near a deep end of the diagonal cut than when the beam is near a shallow end of the diagonal cut.

IPC Classes  ?

  • H01J 37/305 - Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating, or etching
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01L 21/263 - Bombardment with wave or particle radiation with high-energy radiation
  • H01L 21/304 - Mechanical treatment, e.g. grinding, polishing, cutting

17.

METHODS, SYSTEMS, AND APPARATUS FOR MONITORING RADIATION OUTPUT OF LAMPS

      
Application Number 18381146
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Cong, Zhepeng
  • Atanos, Ashur J.
  • Paul, Khokan C.
  • Sheng, Tao

Abstract

Embodiments of the present disclosure relates to methods, systems, and apparatus for monitoring radiation output of lamps of processing chambers. In some embodiments, a system contains a plurality of lamps coupled to a chamber, and one or more radiation sensors. Each lamp is identified with one or more zones, the radiation sensors are coupled to the chamber, where each radiation sensor is proximal at least one lamp. A controller contains instructions that, when executed, cause: the radiation sensors to convey, to the controller, information associated with radiation emitted by the lamps; the controller to analyze the information, the analyzing including: for each zone: determining a function of radiation over time; and monitoring the function for a condition associated with lamp aging; and the controller to, based on the analyzing the information, perform at least one of the following: vary input power delivered to the lamps; and generate an alert.

IPC Classes  ?

  • H01J 9/50 - Repairing or regenerating used or defective discharge tubes, lamps or their salvageable components
  • G01T 1/185 - Measuring radiation intensity with ionisation-chamber arrangements

18.

GRAY TONE UNIFORMITY CONTROL OVER SUBSTRATE TOPOGRAPHY

      
Application Number 18048748
Status Pending
Filing Date 2022-10-20
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Wang, Yingchiao
  • Tsai, Chi-Ming
  • Chuang, Chun-Chih
  • Hu, Yung Peng

Abstract

Embodiments of the present disclosure generally relate to lithography systems. More particularly, embodiments of the present disclosure relate to a method, a system, and a software application for a lithography process to control transmittance rate of write beams and write gray tone patterns in a single exposure operation. In one embodiment, a plurality of shots are provided by an image projection system in a lithography system to a photoresist layer. The plurality of shots exposes the photoresist layer to an intensity of light emitted from the image projection system. The local transmittance rate of the plurality of shots within an exposure area is varied to form varying step heights in the exposure area of the photoresist layer.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light

19.

THREE-DIMENSIONAL MEMORY DEVICE WORDLINES WITH REDUCED BLOCKING LAYER DAMAGE

      
Application Number 18486576
Status Pending
Filing Date 2023-10-12
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Ahn, Jaesoo
  • Romero, Jose Alexandro
  • Bhatnagar, Kunal
  • Pakala, Mahendra

Abstract

A method includes obtaining a base structure of a three-dimensional (3D) memory device, forming, on the base structure, a blocking layer including a high-k dielectric material, and forming, on the blocking layer, a wordline for the 3D memory device including molybdenum using an atomic layer deposition (ALD) process.

IPC Classes  ?

  • H10B 43/20 - EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

20.

U-DISPLAY STRUCTURE WITH QD COLOR CONVERSION AND METHODS OF MANUFACTURE

      
Application Number 18490847
Status Pending
Filing Date 2023-10-19
First Publication Date 2024-04-25
Owner Applied Materials, Inc. (USA)
Inventor
  • Li, Zhiyong
  • Ganapathiappan, Sivapackia
  • Zhu, Mingwei
  • Patibandla, Nag B.
  • Ng, Hou T.
  • Xu, Lisong
  • Kai, Ding
  • Sivanandan, Kulandaivelu

Abstract

Embodiments of the present disclosure generally relate to LED pixels and methods of fabricating LED pixels. A device includes a backplane, at least three LEDs disposed on the backplane, subpixel isolation (SI) structures disposed defining wells of at least three subpixels, a reflection material is disposed on sidewalls and a top surface of the SI structures, at least three of the subpixels have a color conversion material disposed in the wells, an encapsulation layer disposed over the subpixel isolation structures and the subpixels, a light filter layer disposed over the encapsulation layer and micro-lenses disposed over the light filter layer and over each of the wells of the subpixels.

IPC Classes  ?

  • H01L 33/60 - Reflective elements
  • H01L 25/16 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different main groups of groups , or in a single subclass of , , e.g. forming hybrid circuits

21.

IMPEDANCE CONTROL OF LOCAL AREAS OF A SUBSTRATE DURING PLASMA DEPOSITION THEREON IN A LARGE PECVD CHAMBER

      
Application Number US2023077133
Publication Number 2024/086606
Status In Force
Filing Date 2023-10-18
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Ye, Zheng John
  • Lam, Andrew C.
  • Zhao, Zeqiong
  • Zhou, Jianhua
  • An, Hshiang
  • Anwar, Suhail
  • Nakajima, Yoshitake
  • Chang, Fu-Ting

Abstract

Embodiments of the present disclosure generally relate to methods and apparatus for measuring and controlling local impedances at a substrate support in a plasma processing chamber during processing of a substrate. A substrate support includes a plurality of substrate support pins wherein the radio frequency voltage, current and phase of each of the plurality of substrate support pins are measured and impedances of the support pins are adjusted in real time. Each of the substrate support pins is coupled to an associated adjustable impedance circuit that may be remotely controlled. In one embodiment a variable capacitor is used to adjust the impedance of the impedance circuit coupled to the associated substrate support pin and may be remotely adjusted with a stepper motor. In another embodiment a microcontroller may control the impedance adjustments for all of the plurality of substrate support pins and may be used to track these impedances with each other and with a bulk impedance of the plasma processing chamber.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • C23C 16/505 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating using electric discharges using radio frequency discharges

22.

RU LINER ABOVE A BARRIER LAYER

      
Application Number US2023035532
Publication Number 2024/086295
Status In Force
Filing Date 2023-10-19
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Wang, Zhaoxuan
  • Lei, Jianxin
  • Hou, Wenting
  • Kang, Sung-Kwan
  • Iyer, Anand Nilakantan

Abstract

A method to produce a layered substrate, which includes the steps of depositing a diffusion barrier layer on the substrate; depositing an underlayer comprising a Group 6 metal on the barrier layer; and depositing a ruthenium layer on the underlayer, to produce the layered substrate. A layered substrate is also disclosed.

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
  • H01L 23/532 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials

23.

THREE-DIMENSIONAL MEMORY DEVICE WORDLINES WITH REDUCED BLOCKING LAYER DAMAGE

      
Application Number US2023035338
Publication Number 2024/086177
Status In Force
Filing Date 2023-10-17
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Ahn, Jaesoo
  • Romero, Jose Alexandro
  • Bhatnagar, Kunal
  • Pakala, Mahendra

Abstract

A method includes obtaining a base structure of a three-dimensional (3D) memory device, forming, on the base structure, a blocking layer including a high-k dielectric material, and forming, on the blocking layer, a wordline for the 3D memory device including molybdenum using an atomic layer deposition (ALD) process.

IPC Classes  ?

  • H10B 43/35 - EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
  • H10B 43/27 - EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
  • H10B 43/50 - EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
  • H10B 41/35 - Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
  • H10B 41/27 - Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
  • H10B 41/50 - Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region

24.

GAS DELIVERY PALLET ASSEMBLY, CLEANING UNIT AND CHEMICAL MECHANICAL POLISHING SYSTEM HAVING THE SAME

      
Application Number US2023032374
Publication Number 2024/085975
Status In Force
Filing Date 2023-09-11
Publication Date 2024-04-25
Owner APPLIED MATERIALS, INC. (USA)
Inventor Velazquez, Edwin

Abstract

A modular gas pallet assembly is disclosed herein, along with a cleaning unit and chemical mechanical polisher having the same. In one example, the gas pallet assembly includes three outlets and two or less inlets. The gas pallet assembly has first and second primary gas conduits secured to a first mounting plate. The second primary gas conduits is split into two branches, each having their own flow control. The modular gas pallet assembly is configured to provide gas towards a base plate of a substrate cleaner, to substrate gripping pins of the substrate cleaner, and to a bottom of a substrate held by the substrate gripping pins of the substrate cleaner.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

25.

Collimator for a physical vapor deposition (PVD) chamber

      
Application Number 29863219
Grant Number D1024149
Status In Force
Filing Date 2022-12-16
First Publication Date 2024-04-23
Grant Date 2024-04-23
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Riker, Martin Lee
  • Varkey, Luke Vianney
  • Xie, Xiangjin

26.

Chamber inlet

      
Application Number 29787536
Grant Number D1023987
Status In Force
Filing Date 2021-06-07
First Publication Date 2024-04-23
Grant Date 2024-04-23
Owner Applied Materials, Inc. (USA)
Inventor
  • Shono, Eric Kihara
  • Pandey, Vishwas Kumar
  • Olsen, Christopher S.
  • Lo, Hansel
  • Tjandra, Agus Sofian
  • Kim, Taewan
  • Kaufman-Osborn, Tobin

27.

COMPENSATION FOR SLURRY COMPOSITION IN IN-SITU ELECTROMAGNETIC INDUCTIVE MONITORING

      
Application Number 18240587
Status Pending
Filing Date 2023-08-31
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Xu, Kun
  • Siordia, Andrew

Abstract

A method of chemical mechanical polishing includes bringing a conductive layer of a substrate into contact with a polishing pad, supplying a polishing liquid to the polishing pad, generating relative motion between the substrate and the polishing pad, monitoring the substrate with an in-situ electromagnetic induction monitoring system as the conductive layer is polished to generate a sequence of signal values that depend on a thickness of the conductive layer, and determining a sequence of thickness values for the conductive layer based on the sequence of signal values. Determining the sequence of thickness values includes at least partially compensating for a contribution of the polishing liquid to the signal values.

IPC Classes  ?

  • B24B 37/005 - Control means for lapping machines or devices
  • B24B 37/04 - Lapping machines or devices; Accessories designed for working plane surfaces
  • B24B 37/10 - Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping
  • G01B 7/06 - Measuring arrangements characterised by the use of electric or magnetic techniques for measuring length, width, or thickness for measuring thickness

28.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Application Number 18046290
Status Pending
Filing Date 2022-10-13
First Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abstract

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

IPC Classes  ?

  • F24F 3/163 - Clean air work stations, i.e. selected areas within a space to which filtered air is passed

29.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Application Number 18481163
Status Pending
Filing Date 2023-10-04
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Gu, Sipeng
  • Hong, Liang
  • Lu, Jun-Feng

Abstract

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

IPC Classes  ?

  • H10B 12/00 - Dynamic random access memory [DRAM] devices

30.

SCALING FOR DIE-LAST ADVANCED IC PACKAGING

      
Application Number 18484016
Status Pending
Filing Date 2023-10-10
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Chen, Jang Fung
  • Laidig, Thomas L.
  • Kang, Chung-Shin
  • Tsai, Chi-Ming
  • Shen, Wei-Ning

Abstract

Embodiments of the present disclosure relate to a system, a software application, and methods of digital lithography for semiconductor packaging. The method includes comparing positions of vias and via locations, generating position data based on the comparing the positions of vias and the via locations, providing the position data of the vias to a digital lithography device, updating a redistributed metal layer (RDL) mask pattern according to the position data such that RDL locations correspond to the positions of the vias, and projecting the RDL mask pattern with the digital lithography device.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

31.

SACRIFICIAL SOURCE/DRAIN FOR METALLIC SOURCE/DRAIN HORIZONTAL GATE ALL AROUND ARCHITECTURE

      
Application Number 18378850
Status Pending
Filing Date 2023-10-11
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Breil, Nicolas
  • Lee, Byeong Chan

Abstract

Semiconductor devices and methods of manufacturing the same are described. The method includes forming a source region and a drain region adjacent to a superlattice structure on a substrate. The source region and the drain region comprise a metallic silicide material. In some embodiments, a sacrificial material is first deposited and then removed to form a metallic silicide material in the source and drain region.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 21/8234 - MIS technology
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/786 - Thin-film transistors

32.

FREEFORM OPTICAL SUBSTRATES IN WAVEGUIDE DISPLAYS

      
Application Number 18398409
Status Pending
Filing Date 2023-12-28
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Sell, David Alexander
  • Bhargava, Samarth

Abstract

Embodiments of the present disclosure generally relate to methods of forming a substrate having a target thickness distribution at one or more eyepiece areas across a substrate. The substrate includes eyepiece areas corresponding to areas where optical device eyepieces are to be formed on the substrate. Each eyepiece area includes a target thickness distribution. A base substrate thickness distribution of a base substrate is measured such that a target thickness change can be determined. The methods described herein are utilized along with the target thickness change to form a substrate with the target thickness distribution.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 25/00 - Eyepieces; Magnifying glasses

33.

APPARATUS AND METHOD FOR LASER MACHINING OF A SUBSTRATE

      
Application Number 18278447
Status Pending
Filing Date 2021-03-10
First Publication Date 2024-04-18
Owner APPLIED MATERIALS ITALIA S.R.L. (Italy)
Inventor
  • Franklin, Jeffrey L.
  • Furin, Valentina
  • Cellere, Giorgio
  • Verhaverbeke, Steven
  • Leschkies, Kurtis
  • Chen, Han-Wen
  • Giback, Park

Abstract

An apparatus for hole drilling in a substrate is provided. The apparatus includes a laser system configured to apply a laser beam onto the substrate for removing material from a set of areas on the substrate by directing the laser beam onto predefined positions corresponding to the set of areas on the substrate in a sequence. The apparatus includes a ventilation system configured to produce a fluid flow along one or more sides of the substrate. The apparatus controls the laser beam such that the laser beam is sequentially positioned according to a first laser beam movement direction and a second laser beam movement direction.

IPC Classes  ?

  • B23K 26/38 - Removing material by boring or cutting

34.

METHODS AND APPARATUS FOR COOLING A SUBSTRATE SUPPORT

      
Application Number 17964668
Status Pending
Filing Date 2022-10-12
First Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Decottignies, Robert Irwin
  • Fish, Roger Bradford
  • Szudarski, Steven
  • Kintner, Shane Lawrence

Abstract

Methods and apparatus for processing a substrate are provided herein. For example, an apparatus for processing a substrate comprises a process chamber configured to process a substrate, a substrate support comprising a heat sink configured to cool the substrate support during operation and a water trap panel comprising a pumping ring configured to cool the water trap panel such that the water trap panel condenses water vapor molecules and drops a process chamber pressure during operation, and a chiller operably coupled to the substrate support and configured to supply a cooling fluid to the substrate support via a cooling fluid line that connects to the heat sink and the pumping ring via a serial configuration or a parallel configuration.

IPC Classes  ?

  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating

35.

BIMETALLIC FACEPLATE FOR SUBSTRATE PROCESSING

      
Application Number 17964260
Status Pending
Filing Date 2022-10-12
First Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Shrivastava, Gaurav
  • Harapanhalli, Pavankumar Ramanand
  • Gondhalekar, Sudhir R.
  • Yang, Yao-Hung
  • Chang, Chih-Yang

Abstract

A bimetallic faceplate for substrate processing is provided including a plate having a plurality of gas distribution holes and formed of a first metal having a first coefficient of thermal expansion, the plate having at least one groove around a center of the plate and spaced from the center of the plate; and a metallic element disposed in the at least one groove and fixed to the plate in the at least one groove, the metallic element having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the metallic element being symmetrically arranged on or in the plate. A chamber for substrate processing is provided that includes a bimetallic faceplate. Also, a method of making a bimetallic faceplate is provided.

IPC Classes  ?

  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber

36.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Application Number 18233760
Status Pending
Filing Date 2023-08-14
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Wayne

Abstract

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

IPC Classes  ?

37.

ION IMPLANTATION TO MODIFY GLASS LOCALLY FOR OPTICAL DEVICES

      
Application Number 18537504
Status Pending
Filing Date 2023-12-12
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Pi, Nai-Wen
  • Fu, Jinxin
  • Luo, Kang
  • Godet, Ludovic

Abstract

Embodiments described herein provide for optical devices with methods of forming optical device substrates having at least one area of increased refractive index or scratch resistance. One method includes disposing an etch material on a discrete area of an optical device substrate or an optical device layer, disposing a diffusion material in the discrete area, and removing excess diffusion material to form an optical material in the optical device substrate or the optical device layer having a refractive index greater than or equal to 2.0 or a hardness greater than or equal to 5.5 Mohs.

IPC Classes  ?

  • C23C 14/48 - Ion implantation
  • C03C 23/00 - Other surface treatment of glass not in the form of fibres or filaments
  • C23C 14/00 - Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
  • C23C 14/02 - Pretreatment of the material to be coated
  • C23C 14/58 - After-treatment

38.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Application Number US2022054317
Publication Number 2024/081015
Status In Force
Filing Date 2022-12-30
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abstract

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H03H 7/40 - Automatic matching of load impedance to source impedance

39.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Application Number US2023032396
Publication Number 2024/081085
Status In Force
Filing Date 2023-09-11
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Waye

Abstract

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

IPC Classes  ?

  • H01L 21/3065 - Plasma etching; Reactive-ion etching
  • H01L 21/311 - Etching the insulating layers
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

40.

BIMETALLIC FACEPLATE FOR SUBSTRATE PROCESSING

      
Application Number US2023032461
Publication Number 2024/081087
Status In Force
Filing Date 2023-09-12
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Shrivastava, Gaurav
  • Harapanhalli, Pavankumar Ramanand
  • Gondhalekar, Sudhir R.
  • Yang, Yao-Hung
  • Chang, Chih-Yang

Abstract

A bimetallic faceplate for substrate processing is provided including a plate having a plurality of gas distribution holes and formed of a first metal having a first coefficient of thermal expansion, the plate having at least one groove around a center of the plate and spaced from the center of the plate; and a metallic element disposed in the at least one groove and fixed to the plate in the at least one groove, the metallic element having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the metallic element being symmetrically arranged on or in the plate. A chamber for substrate processing is provided that includes a bimetallic faceplate. Also, a method of making a bimetallic faceplate is provided.

IPC Classes  ?

  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

41.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Application Number US2023032925
Publication Number 2024/081097
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor Aderhold, Wolfgang

Abstract

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

42.

PARTICLE REDUCTION IN PHYSICAL VAPOR DEPOSITION OF AMORPHOUS SILICON

      
Application Number US2023034799
Publication Number 2024/081221
Status In Force
Filing Date 2023-10-10
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Fang, Peijiao
  • Li, Mingdong
  • Liu, Chengyu

Abstract

Methods for depositing amorphous silicon films via physical vapor deposition processes are disclosed. In some embodiments, a method of depositing amorphous silicon in a physical vapor deposition (PVD) process chamber includes (a) depositing an amorphous silicon layer atop a surface of a substrate disposed on a substrate support via a physical vapor deposition process, in the meanwhile amorphous silicon is also deposited atop components within the PVD process chamber; and depositing a glue layer atop the amorphous silicon deposited on the components. The glue layer can be a silicon compound. The silicon compound can be a compound of silicon with one or more of carbon, nitrogen, or oxygen. In some embodiments, the silicon compound is SiC, Si N, SiO, SiCN, or SiON.

IPC Classes  ?

  • H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

43.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Application Number US2023075316
Publication Number 2024/081509
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abstract

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

IPC Classes  ?

44.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Application Number US2023076603
Publication Number 2024/081735
Status In Force
Filing Date 2023-10-11
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abstract

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

IPC Classes  ?

45.

IN-LINE MONITORING OF OLED LAYER THICKNESS AND DOPANT CONCENTRATION

      
Application Number 18395081
Status Pending
Filing Date 2023-12-22
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Tung, Yeishin
  • Kwak, Byung Sung
  • Visser, Robert Jan
  • Zhao, Guoheng
  • Egan, Todd J.
  • Kabra, Dinesh
  • Banappanavar, Gangadhar

Abstract

An organic light-emitting diode (OLED) deposition system includes two deposition chambers, a transfer chamber between the two deposition chambers, a metrology system having one or more sensors to perform measurements of the workpiece within the transfer chamber, and a control system to cause the system to form an organic light-emitting diode layer stack on the workpiece. Vacuum is maintained around the workpiece while the workpiece is transferred between the two deposition chambers and while retaining the workpiece within the transfer chamber. The control system is configured to cause the two deposition chambers to deposit two layers of organic material onto the workpiece, and to receive a first plurality of measurements of the workpiece in the transfer chamber from the metrology system.

IPC Classes  ?

  • H10K 71/00 - Manufacture or treatment specially adapted for the organic devices covered by this subclass
  • C23C 14/12 - Organic material
  • C23C 14/24 - Vacuum evaporation
  • C23C 14/50 - Substrate holders
  • C23C 14/54 - Controlling or regulating the coating process
  • C23C 14/56 - Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
  • H10K 71/16 - Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
  • H10K 71/70 - Testing, e.g. accelerated lifetime tests

46.

METHODS AND PRECURSOR FORMULATIONS FOR FORMING ADVANCED POLISHING PADS BY USE OF AN ADDITIVE MANUFACTURING PROCESS

      
Application Number 18212285
Status Pending
Filing Date 2023-06-21
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Ganapathiappan, Sivapackia
  • Fu, Boyi
  • Chockalingam, Ashwin
  • Redfield, Daniel
  • Bajaj, Rajeev
  • Orilall, Mahendra C.
  • Ng, Hou T.
  • Fung, Jason G.
  • Yamamura, Mayu

Abstract

Embodiments of the present disclosure relate to advanced polishing pads with tunable chemical, material and structural properties, and methods of manufacturing the same. According to one or more embodiments, a method for forming or otherwise preparing a polishing article by sequentially forming a plurality of polymer layers is provided and includes: (a) dispensing a plurality of droplets of a polymer precursor composition onto a surface of a previously formed at least partially cured polymer layer, where the polymer precursor composition contains a first precursor component containing an epoxide group and a photoinitiator component which generates a photoacid when exposed to UV light, (b) at least partially curing the plurality of droplets to form an at least partially cured polymer layer, and (c) repeating (a) and (b).

IPC Classes  ?

  • B24B 37/24 - Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
  • B24B 37/22 - Lapping pads for working plane surfaces characterised by a multi-layered structure
  • B24B 37/26 - Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
  • B24D 3/28 - Resins
  • B24D 11/00 - Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
  • B24D 11/04 - Zonally-graded surfaces
  • B29C 35/08 - Heating or curing, e.g. crosslinking or vulcanising by wave energy or particle radiation
  • B29C 64/112 - Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material using individual droplets, e.g. from jetting heads
  • B33Y 10/00 - Processes of additive manufacturing
  • B33Y 70/00 - Materials specially adapted for additive manufacturing
  • B33Y 80/00 - Products made by additive manufacturing
  • C09D 4/00 - Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond
  • C09G 1/16 - Other polishing compositions based on non-waxy substances on natural or synthetic resins

47.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Application Number 18238891
Status Pending
Filing Date 2023-08-28
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor Aderhold, Wolfgang

Abstract

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

IPC Classes  ?

  • B08B 13/00 - Accessories or details of general applicability for machines or apparatus for cleaning
  • B08B 5/00 - Cleaning by methods involving the use of air flow or gas flow
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

48.

INTEGRATED OPTICAL SENSOR CONTROLLER FOR DEVICEMANUFACTURING MACHINES

      
Application Number 18398723
Status Pending
Filing Date 2023-12-28
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Shang, Kiyki-Shiy
  • Taraboukhine, Mikhail
  • Kode, Venkata Raghavaiah Chowdhary

Abstract

Implementations disclosed describe an integrated sensor controller comprising a sensor circuit and a logic circuit. The sensor circuit includes a light source driver to generate a driving signal, a demultiplexer to produce, using the driving signal, a plurality of output driving signals to be delivered to one of a plurality of sensors, and an amplifier to: receive a first signal from a first sensor, the first signal being associated with a first event representative of a position of a substrate within a device manufacturing machine, and generate a second signal. The sensor circuit further includes an analog-to-digital converter to receive the second signal and generate a third signal. The logic circuit includes a memory device and a processing device coupled to the memory device, the processing device to obtain based on the third signal, information about the position of the substrate.

IPC Classes  ?

  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
  • B25J 9/16 - Programme controls
  • B25J 11/00 - Manipulators not otherwise provided for
  • B25J 13/08 - Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
  • G01B 11/00 - Measuring arrangements characterised by the use of optical techniques
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

49.

Particle Reduction in Physical Vapor Deposition of Amorphous Silicon

      
Application Number 18378234
Status Pending
Filing Date 2023-10-10
First Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Fang, Peijiao
  • Li, Mingdong
  • Liu, Chengyu

Abstract

Methods for depositing amorphous silicon films via physical vapor deposition processes are disclosed. In some embodiments, a method of depositing amorphous silicon in a physical vapor deposition (PVD) process chamber includes (a) depositing an amorphous silicon layer atop a surface of a substrate disposed on a substrate support via a physical vapor deposition process, in the meanwhile amorphous silicon is also deposited atop components within the PVD process chamber; and depositing a glue layer atop the amorphous silicon deposited on the components. The glue layer can be a silicon compound. The silicon compound can be a compound of silicon with one or more of carbon, nitrogen, or oxygen. In some embodiments, the silicon compound is SiC, SiN, SiO, SiCN, or SiON.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • C23C 16/52 - Controlling or regulating the coating process

50.

MULTI-STEP PROCESS FOR FLOWABLE GAP-FILL FILM

      
Application Number 18392534
Status Pending
Filing Date 2023-12-21
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Clemons, Maximillian
  • Bekiaris, Nikolaos
  • Nemani, Srinivas D.

Abstract

Generally, examples described herein relate to methods and processing systems for performing multiple processes in a same processing chamber on a flowable gap-fill film deposited on a substrate. In an example, a semiconductor processing system includes a processing chamber and a system controller. The system controller includes a processor and memory. The memory stores instructions, that when executed by the processor cause the system controller to: control a first process within the processing chamber performed on a substrate having thereon a film deposited by a flowable process, and control a second process within the process chamber performed on the substrate having thereon the film. The first process includes stabilizing bonds in the film to form a stabilized film. The second process includes densifying the stabilized film.

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

51.

TOTAL OR LOCAL THICKNESS VARIATION FOR OPTICAL DEVICES

      
Application Number 18381604
Status Pending
Filing Date 2023-10-18
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Luo, Yingdong
  • Yao, Zhengping
  • Zhang, Daihua
  • Sell, David Alexander
  • Yang, Jingyi
  • Deng, Xiaopei
  • Messer, Kevin
  • Bhargava, Samarth
  • Hourani, Rami
  • Godet, Ludovic

Abstract

Embodiments of the present disclosure generally relate to methods for forming a waveguide. Methods may include measuring a waveguide substrate, the waveguide having a substrate thickness distribution; and depositing an index-matched layer onto a surface of the waveguide, the index-matched layer having a first surface disposed on the waveguide substrate and a second surface opposing the first surface, wherein the index-matched layer is disposed only over a portion of the waveguide substrate, and a device slope of a second surface of the index-matched layer is substantially the same as the waveguide slope of the first surface of the waveguide.

IPC Classes  ?

  • G02B 6/122 - Basic optical elements, e.g. light-guiding paths
  • G02B 6/12 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
  • G02B 6/124 - Geodesic lenses or integrated gratings
  • G02B 6/13 - Integrated optical circuits characterised by the manufacturing method
  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,

52.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Application Number 18221063
Status Pending
Filing Date 2023-07-12
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abstract

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a sub-zero degree temperature.

IPC Classes  ?

  • H01L 21/311 - Etching the insulating layers
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

53.

METHOD TO MEASURE LIGHT LOSS OF OPTICAL FILMS AND OPTICAL SUBSTRATES

      
Application Number 18397977
Status Pending
Filing Date 2023-12-27
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Fu, Jinxin
  • Luo, Kang
  • Hayee, Fariah
  • Godet, Ludovic

Abstract

A method of optical device metrology is provided. The method includes introducing a first type of light into a first optical device during a first time period, the first optical device including an optical substrate and an optical film disposed on the optical substrate, the first optical device further including a first surface, a second surface, and one or more sides connecting the first surface with the second surface; and measuring, during the first time period, a quantity of the first type of light transmitted from a plurality of locations on the first surface or the second surface during the first time period, wherein the measuring is performed by a detector coupled to one or more fiber heads positioned to collect the light transmitted from the plurality of locations.

IPC Classes  ?

54.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Application Number 17964621
Status Pending
Filing Date 2022-10-12
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abstract

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H05H 1/46 - Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

55.

ENDPOINT OPTIMIZATION FOR SEMICONDUCTOR PROCESSES

      
Application Number 17966634
Status Pending
Filing Date 2022-10-14
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Vaxman, Avishay
  • Zhang, Qintao
  • Koch, Jeffrey P.
  • Surdock, David P.
  • Swart, Wayne R.
  • Lee, David J.
  • Hong, Samphy
  • Vincent Eddy, Aldrin Bernard
  • Deyo, Daniel G.

Abstract

A camera may capture reflected light from the surface of the wafer during a semiconductor process that adds or removes material from the wafer, such as an etch process. To accurately determine an endpoint for the process, a camera sampling rate and light source intensity may be optimized in the process recipe. Optimizing the light source intensity may include characterizing light intensities that will be reflected from the waiver using an image of the wafer. Pixel intensities may be used to adjust the light source intensity to compensate for more complex wafer patterns. Optimizing the camera sampling rates may include nondestructively rotating a view of the wafer and converting the sampled intensities to the frequency domain. The camera sampling rate may be increased or decreased to remove spatial noise from the image without oversampling unnecessarily. These optimized parameters may then generate a clean, repeatable trace for endpoint determination.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06T 7/00 - Image analysis
  • G06T 7/80 - Analysis of captured images to determine intrinsic or extrinsic camera parameters, i.e. camera calibration
  • H04N 5/225 - Television cameras
  • H04N 5/232 - Devices for controlling television cameras, e.g. remote control

56.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Application Number 18485009
Status Pending
Filing Date 2023-10-11
First Publication Date 2024-04-18
Owner Applied Materials, Inc. (USA)
Inventor
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abstract

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

57.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Application Number CN2022124946
Publication Number 2024/077525
Status In Force
Filing Date 2022-10-12
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Gu, Sipeng
  • Hong, Liang

Abstract

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

IPC Classes  ?

  • H10B 12/00 - Dynamic random access memory [DRAM] devices
  • C23C 14/04 - Coating on selected surface areas, e.g. using masks
  • C23C 16/04 - Coating on selected surface areas, e.g. using masks

58.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Application Number US2023034749
Publication Number 2024/081194
Status In Force
Filing Date 2023-10-09
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abstract

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a subzero degree temperature.

IPC Classes  ?

  • H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

59.

METHODS AND APPARATUS FOR COOLING A SUBSTRATE SUPPORT

      
Application Number US2023034786
Publication Number 2024/081210
Status In Force
Filing Date 2023-10-10
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Decottignies, Robert Irwin
  • Fish, Roger Bradford
  • Szudarski, Steven
  • Kintner, Shane Lawrence

Abstract

Methods and apparatus for processing a substrate are provided herein. For example, an apparatus for processing a substrate comprises a process chamber configured to process a substrate, a substrate support comprising a heat sink configured to cool the substrate support during operation and a water trap panel comprising a pumping ring configured to cool the water trap panel such that the water trap panel condenses water vapor molecules and drops a process chamber pressure during operation, and a chiller operably coupled to the substrate support and configured to supply a cooling fluid to the substrate support via a cooling fluid line that connects to the heat sink and the pumping ring via a serial configuration or a parallel configuration.

IPC Classes  ?

  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
  • C23C 14/50 - Substrate holders

60.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Application Number US2023034847
Publication Number 2024/081253
Status In Force
Filing Date 2023-10-10
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abstract

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

61.

DETERMINING SUBSTRATE PROFILE PROPERTIES USING MACHINE LEARNING

      
Application Number US2023076657
Publication Number 2024/081764
Status In Force
Filing Date 2023-10-12
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Li, Thomas
  • Ummethala, Upendra V.
  • Erickson, Blake
  • Kumar, Prashanth
  • Kutney, Michael
  • Tindel, Steven Trey
  • Zhu, Zhaozhao

Abstract

Spectral data associated with a first prior substrate and/or a second prior substrate is obtained. A metrology measurement value associated with the first portion of the first prior substrate is determined based on one or more metrology measurement values measured for at least one of a second portion of the first prior substrate or a third portion of a second prior substrate. Training data for training a machine learning model to predict metrology measurement values of a current substrate is generated. Generating the training data includes generating a first training input including the spectral data associated with the first prior substrate and generating a first target output for the first training input, the first target output including the determined metrology measurement value associated with the first portion of the first prior substrate. The training data is provided to train the machine learning model.

IPC Classes  ?

62.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Application Number US2023076738
Publication Number 2024/081815
Status In Force
Filing Date 2023-10-12
Publication Date 2024-04-18
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abstract

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)
  • G05B 13/04 - Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
  • G06N 20/00 - Machine learning

63.

GROWTH MONITOR SYSTEM AND METHODS FOR FILM DEPOSITION

      
Application Number 18538996
Status Pending
Filing Date 2023-12-13
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Cong, Zhepeng
  • Baghbanzadeh, Mostafa
  • Sheng, Tao
  • Choo, Enle

Abstract

The present disclosure generally relates to process chambers for semiconductor processing. In one embodiment, a growth monitor for substrate processing is provided. The growth monitor includes a sensor holder and a crystal disposed in the sensor holder having a front side and a back side. An opening is formed in the sensor holder exposing a front side of the crystal. A gas inlet is disposed through the sensor holder to a plenum formed by the back side of the crystal and the sensor holder. A gas outlet is fluidly coupled to the plenum.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating
  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
  • C23C 16/46 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for heating the substrate
  • C23C 16/52 - Controlling or regulating the coating process
  • G01B 17/02 - Measuring arrangements characterised by the use of infrasonic, sonic, or ultrasonic vibrations for measuring thickness

64.

SWITCHING CONTROL ALGORITHMS ON DETECTION OF EXPOSURE OF UNDERLYING LAYER DURING POLISHING

      
Application Number 18542093
Status Pending
Filing Date 2023-12-15
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Xu, Kun
  • Lee, Harry Q.
  • Cherian, Benjamin
  • Gage, David Maxwell

Abstract

A method of controlling polishing includes polishing a stack of adjacent conductive layers on a substrate, measuring with an in-situ eddy current monitoring system a sequence of characterizing values for the substrate during polishing, calculating a polishing rate from the sequence of characterizing values repeatedly during polishing, calculating one or more adjustments for one or more polishing parameters based on a current polishing rate using a first control algorithm for an initial time period, detecting a change in the polishing rate that indicates exposure of the underlying conductive layer, and calculating one or more adjustments for one or more polishing parameters based on the polishing rate using a different second control algorithm for a subsequent time period after detecting the change in the polishing rate.

IPC Classes  ?

  • B24B 37/005 - Control means for lapping machines or devices
  • B24B 37/013 - Devices or means for detecting lapping completion
  • B24B 49/10 - Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means
  • H01L 21/321 - After-treatment

65.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Application Number 17960569
Status Pending
Filing Date 2022-10-05
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Singha Roy, Susmit
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abstract

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/311 - Etching the insulating layers
  • H01L 29/66 - Types of semiconductor device

66.

DIELECTRIC ON DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Application Number 17960979
Status Pending
Filing Date 2022-10-06
First Publication Date 2024-04-11
Owner
  • Applied Materials, Inc. (USA)
  • Regents of the University of California (USA)
Inventor
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abstract

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

67.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Application Number 17961553
Status Pending
Filing Date 2022-10-06
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abstract

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

IPC Classes  ?

  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating

68.

BOTTOM CONTACT FORMATION FOR 4F2 VERTICAL DRAM

      
Application Number 17963555
Status Pending
Filing Date 2022-10-11
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Gu, Sipeng
  • Zhang, Qintao
  • Shim, Kyu-Ha

Abstract

Disclosed herein are approaches for forming contacts in a 4F2 vertical dynamic random-access memory device. One method includes providing a plurality of fins extending from a substrate, forming a spacer layer over the plurality of fins, and etching the substrate to expose a base portion of the plurality of fins. The method may include forming a doped layer along the base portion of the plurality of fins and along an upper surface of the substrate, and forming an oxide spacer over the doped layer.

IPC Classes  ?

69.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Application Number US2022054313
Publication Number 2024/076357
Status In Force
Filing Date 2022-12-30
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abstract

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

IPC Classes  ?

70.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Application Number US2023017115
Publication Number 2024/076386
Status In Force
Filing Date 2023-03-31
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abstract

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

71.

CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Application Number US2023017802
Publication Number 2024/076390
Status In Force
Filing Date 2023-04-06
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abstract

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/673 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components using specially adapted carriers

72.

DIELECTRIC-ON-DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Application Number US2023034396
Publication Number 2024/076587
Status In Force
Filing Date 2023-10-03
Publication Date 2024-04-11
Owner
  • APPLIED MATERIALS, INC. (USA)
  • THE REGENTS OF THE UNIVERSITY OF CALIFORNIA (USA)
Inventor
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abstract

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

IPC Classes  ?

  • C23C 16/04 - Coating on selected surface areas, e.g. using masks
  • C23C 16/52 - Controlling or regulating the coating process
  • C23C 16/18 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the deposition of metallic material from metallo-organic compounds
  • C23C 16/40 - Oxides
  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating
  • C23C 16/34 - Nitrides
  • C23C 16/02 - Pretreatment of the material to be coated

73.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Application Number US2023034695
Publication Number 2024/076767
Status In Force
Filing Date 2023-10-06
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abstract

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

IPC Classes  ?

  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • H01J 37/32 - Gas-filled discharge tubes

74.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Application Number US2023075315
Publication Number 2024/076860
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Roy, Susmit Singha
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abstract

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/26 - Bombardment with wave or particle radiation
  • H01L 21/3065 - Plasma etching; Reactive-ion etching

75.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Application Number 17960666
Status Pending
Filing Date 2022-10-05
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abstract

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

IPC Classes  ?

  • G01R 29/08 - Measuring electromagnetic field characteristics

76.

LOAD LOCK CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Application Number 17961214
Status Pending
Filing Date 2022-10-06
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abstract

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
  • C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
  • C23C 16/46 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for heating the substrate
  • C23C 16/52 - Controlling or regulating the coating process

77.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Application Number 17962310
Status Pending
Filing Date 2022-10-07
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abstract

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

IPC Classes  ?

  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating
  • C23C 14/02 - Pretreatment of the material to be coated
  • C23C 14/46 - Sputtering by ion beam produced by an external ion source
  • C23C 16/02 - Pretreatment of the material to be coated
  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber

78.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Application Number 17962378
Status Pending
Filing Date 2022-10-07
First Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abstract

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

IPC Classes  ?

  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating

79.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Application Number 17962410
Status Pending
Filing Date 2022-10-07
First Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abstract

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

IPC Classes  ?

  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

80.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Application Number 17963146
Status Pending
Filing Date 2022-10-10
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abstract

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H03H 7/40 - Automatic matching of load impedance to source impedance

81.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Application Number 17963687
Status Pending
Filing Date 2022-10-11
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abstract

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

IPC Classes  ?

  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/3065 - Plasma etching; Reactive-ion etching

82.

METHODS AND APPARATUS FOR RUTHENIUM OXIDE REDUCTION ON EXTREME ULTRAVIOLET PHOTOMASKS

      
Application Number 18276760
Status Pending
Filing Date 2022-02-08
First Publication Date 2024-04-11
Owner APPLIED MATERALS, INC. (USA)
Inventor
  • Wu, Banqiu
  • Makhamreh, Khalid
  • Dagan, Eliyahu Shlomo

Abstract

Methods and apparatus for reducing ruthenium oxide on an extreme ultraviolet (EUV) photomask leverage temperature, plasma, and chamber pressure to increase the reduction. In some embodiments, a method includes heating the EUV photomask with a ruthenium (Ru) capping layer with a top surface which has a Ru oxide layer to a temperature of approximately 100 degrees Celsius to approximately a thermal budget of the EUV photomask, flowing a reducing agent gas into an EUV photomask processing chamber, and pressurizing the EUV photomask processing chamber to a process pressure to increase a reducing reaction between the reducing agent gas and a Ru oxide layer on the Ru capping layer. Other embodiments may incorporate remote plasma generators or atmospheric-pressure plasma generators to enhance the reduction of Ru oxides on the Ru capping layer.

IPC Classes  ?

  • G03F 1/24 - Reflection masks; Preparation thereof
  • G03F 1/74 - Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam

83.

EVAPORATION APPARATUS, VAPOR DEPOSITION APPARATUS, AND EVAPORATION METHOD

      
Application Number 18542356
Status Pending
Filing Date 2023-12-15
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Buschbeck, Wolfgang
  • Bangert, Stefan

Abstract

An evaporation apparatus is described, particularly for evaporating a reactive material such as lithium. The evaporation apparatus includes an evaporation crucible for evaporating a liquid material, a material conduit for supplying the liquid material to the evaporation crucible, and a valve configured to close the material conduit by solidifying a part of the liquid material in the material conduit with a cooling device. The valve may include a cooling gas supply for a cooling gas, and the cooling device may be configured to cool the liquid material with the cooling gas. Further described are a vapor deposition apparatus for coating a substrate as well as an evaporation method.

IPC Classes  ?

  • C23C 14/24 - Vacuum evaporation
  • C23C 14/14 - Metallic material, boron or silicon
  • C23C 14/56 - Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks

84.

HIGH RESOLUTION ADVANCED OLED SUB-PIXEL CIRCUIT AND PATTERNING METHOD

      
Application Number 18545709
Status Pending
Filing Date 2023-12-19
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Lee, Jungmin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abstract

Embodiments described herein relate to a sub-pixel. The sub-pixel includes an anode, overhang structures, separation structures, an organic light emitting diode (OLED) material, and a cathode. The anode is defined by adjacent first pixel isolation structures (PIS) and adjacent second PIS. The overhang structures are disposed on the first PIS. The overhang structures include a second structure disposed over the first structure and an intermediate structure disposed between the second structure and the first structure. A bottom surface of the second structure extends laterally past an upper surface of the first structure. The first structure is disposed over the first PIS. Separation structures are disposed over the second PIS. The OLED material is disposed over the anode and an upper surface of the separation structures. The cathode disposed over the OLED material and an upper surface of the separation structures.

IPC Classes  ?

85.

HIGH-K DIELECTRIC MATERIALS COMPRISING ZIRCONIUM OXIDE UTILIZED IN DISPLAY DEVICES

      
Application Number 18545810
Status Pending
Filing Date 2023-12-19
First Publication Date 2024-04-11
Owner Applied Materials, Inc. (USA)
Inventor
  • Rui, Xiangxin
  • Zhao, Lai
  • Chen, Jrjyan Jerry
  • Choi, Soo Young
  • Zhai, Yujia

Abstract

Embodiments of the disclosure generally provide methods of forming a capacitor layer or a gate insulating layer with high dielectric constant as well as low film current leakage and desired film qualities for display applications. In one embodiment, a thin film transistor structure includes a dielectric layer formed on a substrate, wherein the dielectric layer is a zirconium containing material comprising aluminum, and gate, source and drain electrodes formed on the substrate, wherein the gate, source and drain electrodes formed above or below the dielectric layer.

IPC Classes  ?

  • H01L 27/12 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
  • H01L 29/24 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only inorganic semiconductor materials not provided for in groups , ,  or
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/786 - Thin-film transistors

86.

MASK FOR A SUBSTRATE, SUBSTRATE SUPPORT, SUBSTRATE PROCESSING APPARATUS, METHOD FOR LAYER DEPOSITION ON A SUBSTRATE AND METHOD OF MANUFACTURING ONE OR MORE DEVICES

      
Application Number EP2022077692
Publication Number 2024/074202
Status In Force
Filing Date 2022-10-05
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Jagadish, Avinash
  • Lau, Simon
  • Klein, Wolfgang
  • Hanika, Markus

Abstract

A mask (100) for masking a rear of an edge of a substrate (10) is described. The mask comprises a frame (110) having an opening (111) for receiving the substrate, wherein the frame has a protrusion (112) provided at an inner side (110A) of the frame, the protrusion (112) extending towards the rear (10R) of the edge (10E) of the substrate (10).

IPC Classes  ?

  • C23C 14/56 - Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
  • C23C 14/50 - Substrate holders
  • C23C 14/04 - Coating on selected surface areas, e.g. using masks
  • H01L 21/283 - Deposition of conductive or insulating materials for electrodes
  • H01L 21/3205 - Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layers; After-treatment of these layers
  • H01L 21/443 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

87.

CASSETTE STRUCTURES AND RELATED METHODS FOR BATCH PROCESSING IN EPITAXIAL DEPOSITION OPERATIONS

      
Application Number US2023017561
Publication Number 2024/076389
Status In Force
Filing Date 2023-04-05
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Pandey, Vishwas Kumar
  • Shah, Kartik Bhupendra
  • Moradian, Ala

Abstract

The present disclosure relates to cassette structures and related methods for batch processing in epitaxial deposition operations, In one implementation, a cassette configured for disposition in a substrate processing chamber includes a first wall, a second wall spaced from the first wall, and one or more sidewalls extending between and coupled to the first wall and the second wall. The cassette includes one or more inlet openings formed in the one or more sidewalls, and one or more outlet openings formed in the one or more sidewalls opposite the one or more inlet openings. The cassette includes a plurality of levels that include a plurality of substrate supports mounted to the one or more sidewalls and spaced from each other along the one or more sidewalls.

IPC Classes  ?

  • H01L 21/673 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components using specially adapted carriers

88.

MEMBRANE FAILURE DETECTION SYSTEM

      
Application Number US2023030872
Publication Number 2024/076421
Status In Force
Filing Date 2023-08-22
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Zhang, Chang
  • Chen, Jian J.
  • Truong, Quoc
  • Leighton, Jamie Stuart

Abstract

A polishing system includes a pressure system, a substrate carrier including a membrane, a first sensor, and a control system. A first compartment of the membrane is fluidly coupled to the pressure system. The first sensor is configured to monitor the pressure system and produce a first output based on conditions detected in the pressure system. The control system coupled to the first sensor and configured to process the first output to produce a first processed output, and the control system configured to compare the first processed output to a threshold to detect a presence of a fluid in the pressure system.

IPC Classes  ?

  • B24B 37/005 - Control means for lapping machines or devices
  • B24B 49/10 - Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means
  • B24B 49/12 - Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means
  • B24B 41/06 - Work supports, e.g. adjustable steadies

89.

METHODS FOR FABRICATION OF OPTICAL STRUCTURES ON PHOTONIC GLASS LAYER SUBSTRATES

      
Application Number US2023033113
Publication Number 2024/076463
Status In Force
Filing Date 2023-09-19
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abstract

Embodiments described herein also relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto- electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co-packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

IPC Classes  ?

  • G02B 6/13 - Integrated optical circuits characterised by the manufacturing method
  • G02B 6/122 - Basic optical elements, e.g. light-guiding paths
  • G02B 6/12 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind

90.

A PHOTONIC GLASS LAYER SUBSTRATE WITH EMBEDDED OPTICAL STRUCTURES FOR COMMUNICATING WITH AN ELECTRO OPTICAL INTEGRATED CIRCUIT

      
Application Number US2023033205
Publication Number 2024/076466
Status In Force
Filing Date 2023-09-20
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abstract

Embodiments described herein relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto-electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co- packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

IPC Classes  ?

  • G02B 6/122 - Basic optical elements, e.g. light-guiding paths
  • G02B 6/42 - Coupling light guides with opto-electronic elements
  • G02B 6/12 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind

91.

LAMP AND WINDOW CONFIGURATIONS FOR SUBSTRATE PROCESSING CHAMBERS

      
Application Number US2023034008
Publication Number 2024/076493
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Subbaraman, Venkateswaran
  • Dhamodharan, Raja Murali

Abstract

The present disclosure relates to heat sources (e.g., lamps) and windows for processing chambers, and related methods. In one or more embodiments, a lamp applicable for use in semiconductor manufacturing includes a bulb tube extending along at least a segment of an arcuate profile. The bulb tube defines an arcuate central opening, The lamp includes a filament positioned in the arcuate central opening, The filament extends along at least the segment of the arcuate profile. The lamp includes a reflective coating formed on a first portion of an outer face of the bulb tube.

IPC Classes  ?

  • H05B 3/00 - Ohmic-resistance heating
  • C23C 16/48 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
  • C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

92.

WEB COATING METHOD AND VENTED COOLING DRUM WITH INTEGRAL ELECTROSTATIC CLAMPING

      
Application Number US2023034353
Publication Number 2024/076562
Status In Force
Filing Date 2023-10-03
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Parkhe, Vijay D.
  • Sivaramakrishnan, Visweswaren
  • Ishikawa, David Masayuki
  • Deppisch, Thomas

Abstract

A rotatable drum is provided for supporting a substrate. The rotatable drum includes a curved drum surface for supporting the substrate. The curved drum surface includes a dielectric portion and an electrode coupled to a power source. The electrode is electrically coupled to the curved drum surface and capable of chucking and dechucking the substrate from the curved drum surface at one or more circumferential segments of the curved drum surface.

IPC Classes  ?

  • H01M 4/04 - Processes of manufacture in general
  • H01M 4/134 - Electrodes based on metals, Si or alloys

93.

METHODS FOR CLEAN RATE IMPROVEMENT IN MULTI-RPSC PECVD SYSTEMS

      
Application Number US2023034527
Publication Number 2024/076665
Status In Force
Filing Date 2023-10-05
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Pan, Yan Chi
  • Chen, Jrjyan Jerry
  • Yang, Lynn
  • Chang, Max
  • Furuta, Gaku
  • Chen, Vanness
  • Lau, Allen K.

Abstract

Embodiments of the present disclosure generally relate to a method of cleaning a chemical vapor deposition chamber. The method includes commencing flow of a cleaning gas to a center remote plasma source (RPS) reactor in a processing chamber. The method includes commencing flow of the cleaning gas to four corner RPS reactors in the processing chamber. The method also includes flowing cleaning gas to the center RPS reactor and the four corner RPS reactors. The method further includes stopping flow of the cleaning gas to the center RPS reactor and stopping flow of the cleaning gas to the four corner RPS reactors.

IPC Classes  ?

  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating
  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
  • C23C 16/52 - Controlling or regulating the coating process

94.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Application Number US2023034587
Publication Number 2024/076702
Status In Force
Filing Date 2023-10-05
Publication Date 2024-04-11
Owner APPLIED MATERIALS, INC. (USA)
Inventor
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abstract

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

IPC Classes  ?

  • C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating
  • C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber

95.

JAVELIN

      
Serial Number 98489034
Status Pending
Filing Date 2024-04-08
Owner Applied Materials, Inc. ()
NICE Classes  ? 07 - Machines and machine tools

Goods & Services

Semiconductor wafer processing equipment used for implanting different materials into wafers

96.

WIRELESS DATA COMMUNICATION IN PLASMA PROCESS CHAMBER THROUGH VI SENSOR AND RF GENERATOR

      
Application Number 18220020
Status Pending
Filing Date 2023-07-10
First Publication Date 2024-04-04
Owner Applied Materials, Inc. (USA)
Inventor Lin, Chuang-Chia

Abstract

Embodiments disclosed herein include a diagnostic substrate. In an embodiment, the diagnostic substrate comprises a substrate and a sensor on the substrate. In an embodiment, the diagnostic substrate further comprises a communication module on the substrate that is communicatively coupled to the sensor. In an embodiment, the communication module comprises an output antenna, a switch coupled to the output antenna, and a signal source coupled to the switch.

IPC Classes  ?

97.

FLUID CONDUIT AND FLANGE FOR HIGH BIAS APPLICATIONS

      
Application Number 18371641
Status Pending
Filing Date 2023-09-22
First Publication Date 2024-04-04
Owner Applied Materials, Inc. (USA)
Inventor
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen D.
  • Schmid, Andreas

Abstract

A method and apparatus for cooling a semiconductor chamber are described herein. A semiconductor chamber component, includes a powered region, a grounded region, and a fluid conduit disposed within the semiconductor chamber component and passing through the powered region and grounded region, the fluid conduit comprising a ceramic material.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

98.

Selective Deposition of Thin Films with Improved Stability

      
Application Number 18372792
Status Pending
Filing Date 2023-09-26
First Publication Date 2024-04-04
Owner Applied Materials, Inc. (USA)
Inventor
  • Xiong, Lulu
  • Hsiao, Kevin
  • Liu, Chris
  • Lo, Chieh-Wen
  • Seutter, Sean M.
  • Padhi, Deenesh
  • Lianto, Prayudi
  • Suo, Peng
  • See, Guan Huei
  • Wang, Zongbin
  • Zeng, Shengwei
  • Ramasamy, Balamurugan

Abstract

A method of processing a substrate is disclosed which includes depositing a layer in a processing chamber on a field region, a sidewall region, and a fill region of a feature of the substrate, wherein a hardness of a portion of the layer deposited on the sidewall region is lower than a hardness of a portion of the layer deposited on the field region, and lower than a hardness of a portion of the layer deposited on the fill region.

IPC Classes  ?

  • C23C 16/505 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition (CVD) processes characterised by the method of coating using electric discharges using radio frequency discharges
  • C23C 16/04 - Coating on selected surface areas, e.g. using masks
  • C23C 16/32 - Carbides
  • C23C 16/56 - After-treatment
  • H01J 37/32 - Gas-filled discharge tubes

99.

LARGE DIAMETER POROUS PLUG FOR ARGON DELIVERY

      
Application Number 18372811
Status Pending
Filing Date 2023-09-26
First Publication Date 2024-04-04
Owner Applied Materials, Inc. (USA)
Inventor
  • Gnanaprakasa, Tony Jefferson
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen Donald

Abstract

The disclosure relates to a substrate support assembly for reducing the evacuation time when using argon gas. In one embodiment, a substrate support assembly includes a porous plug within the substrate support assembly. The porous plug includes a first cylindrical section with a first volume and axial length, a second cylindrical section with a second volume and axial length. The first cylindrical section has a larger volume than the second cylindrical section. The first cylindrical section and second cylindrical section have a volume ratio between about 2 and about 12. The first cylindrical section axial length and second cylindrical section axial length have a length ratio between about 2 and about 10.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

100.

HIGH RESOLUTION ADVANCED OLED SUB-PIXEL CIRCUIT AND PATTERNING METHOD

      
Application Number 18528888
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-04-04
Owner Applied Materials, Inc. (USA)
Inventor
  • Lee, Jungmin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abstract

Embodiments described herein relate to a sub-pixel. The sub-pixel includes an anode, overhang structures, separation structures, an organic light emitting diode (OLED) material, and a cathode. The anode is defined by adjacent first pixel isolation structures (PIS) and adjacent second PIS. The overhang structures are disposed on the first PIS. The overhang structures include a second structure disposed over the first structure and an intermediate structure disposed between the second structure and the first structure. A bottom surface of the second structure extends laterally past an upper surface of the first structure. The first structure is disposed over the first PIS. Separation structures are disposed over the second PIS. The OLED material is disposed over the anode and an upper surface of the separation structures. The cathode disposed over the OLED material and an upper surface of the separation structures.

IPC Classes  ?

  1     2     3     ...     100        Next Page