Applied Materials, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 18 534 pour Applied Materials, Inc. et 10 filiales Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 17 890
        Marque 644
Juridiction
        États-Unis 10 058
        International 8 418
        Europe 30
        Canada 28
Propriétaire / Filiale
[Owner] Applied Materials, Inc. 16 587
Varian Semiconductor Equipment Associates, Inc. 1 282
Applied Materials Israel, Ltd. 549
Applied Materials Italia S.R.L. 82
Applied Materials GmbH & Co. KG 35
Voir plus
Date
Nouveautés (dernières 4 semaines) 174
2024 avril (MACJ) 135
2024 mars 148
2024 février 149
2024 janvier 128
Voir plus
Classe IPC
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 3 079
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 2 511
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 2 342
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 1 411
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension 1 229
Voir plus
Classe NICE
07 - Machines et machines-outils 341
09 - Appareils et instruments scientifiques et électriques 324
37 - Services de construction; extraction minière; installation et réparation 66
42 - Services scientifiques, technologiques et industriels, recherche et conception 48
40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau 41
Voir plus
Statut
En Instance 2 232
Enregistré / En vigueur 16 302
  1     2     3     ...     100        Prochaine page

1.

SELECTIVE TRENCH MODIFICATION USING DIRECTIONAL ETCH

      
Numéro d'application 17969333
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Andersen, Tassie
  • Liang, Shurong

Abrégé

Disclosed herein are approaches for device modification, namely, trench elongation. In one approach, a method may include providing a substrate including a plurality of surface features defining a plurality of trenches, wherein a first trench has a first trench length extending in a first direction, wherein a second trench connected to the first trench has a second trench length extending in a second direction, and wherein the first direction and the second direction are non-parallel. The method may further include delivering ions into the substrate in a reactive ion etching process, wherein the ions are delivered at a non-zero angle relative to a perpendicular extending from the substrate, and wherein the reactive ion etching process increases the first trench length of the first trench without increasing the second trench length of the second trench.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

2.

IMPEDANCE CONTROL OF LOCAL AREAS OF A SUBSTRATE DURING PLASMA DEPOSITION THEREON IN A LARGE PECVD CHAMBER

      
Numéro d'application 17971205
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ye, Zheng John
  • Lam, Andrew C.
  • Zhao, Zeqiong
  • Zhou, Jianhua
  • An, Hshiang
  • Anwar, Suhail
  • Nakajima, Yoshitake
  • Chang, Fu-Ting

Abrégé

Embodiments of the present disclosure generally relate to methods and apparatus for measuring and controlling local impedances at a substrate support in a plasma processing chamber during processing of a substrate. A substrate support includes a plurality of substrate support pins wherein the radio frequency voltage, current and phase of each of the plurality of substrate support pins are measured and impedances of the support pins are adjusted in real time. Each of the substrate support pins is coupled to an associated adjustable impedance circuit that may be remotely controlled. In one embodiment a variable capacitor is used to adjust the impedance of the impedance circuit coupled to the associated substrate support pin and may be remotely adjusted with a stepper motor. In another embodiment a microcontroller may control the impedance adjustments for all of the plurality of substrate support pins and may be used to track these impedances with each other and with a bulk impedance of the plasma processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt

3.

PROCESS CHAMBER WITH REFLECTOR

      
Numéro d'application 17971494
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Moradian, Ala
  • Tavakoli, Amir H.
  • Reimer, Peter
  • Lau, Shu-Kwan

Abrégé

A reflector and processing chamber having the same are described herein. In one example, a reflector is provided that includes cylindrical body, a cooling channel, and a reflective coating. The cylindrical body has an upper surface and a lower surface. The lower surface has a plurality of concave reflector structures disposed around a centerline of the cylindrical body. The cooling channel disposed in or on the cylindrical body. The reflective coating is disposed on the plurality of concave reflector structures.

Classes IPC  ?

  • G02B 7/182 - Montures, moyens de réglage ou raccords étanches à la lumière pour éléments optiques pour miroirs pour miroirs
  • C30B 35/00 - Appareillages non prévus ailleurs, spécialement adaptés à la croissance, à la production ou au post-traitement de monocristaux ou de matériaux polycristallins homogènes de structure déterminée
  • G02B 1/14 - Revêtements protecteurs, p.ex. revêtements durs
  • G02B 7/18 - Montures, moyens de réglage ou raccords étanches à la lumière pour éléments optiques pour miroirs
  • G02B 17/00 - Systèmes avec surfaces réfléchissantes, avec ou sans éléments de réfraction

4.

HEAT SOURCE ARRANGEMENTS, PROCESSING CHAMBERS, AND RELATED METHODS TO FACILITATE DEPOSITION PROCESS ADJUSTABILITY

      
Numéro d'application 17971338
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Moradian, Ala
  • Chopra, Saurabh

Abrégé

The present disclosure relates to heat source arrangements, processing chambers, and related methods to facilitate deposition process adjustability. In one implementation, a processing chamber applicable for use in semiconductor manufacturing includes a lower window and an upper window. The lower window and the upper window at least partially define an internal volume. The processing chamber includes a substrate support disposed in the internal volume, and the substrate support includes a support face. The processing chamber includes one or more inner heat sources. Each inner heat source of the one or more inner heat sources is oriented substantially parallel to a surface of the support face. The processing chamber includes one or more outer heat sources disposed outwardly of the inner heat sources. Each outer heat source of the one or more outer heat sources is oriented nonparallel to the surface of the support face.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

5.

TOTAL OR LOCAL THICKNESS VARIATION FOR OPTICAL DEVICES

      
Numéro d'application US2023035423
Numéro de publication 2024/086231
Statut Délivré - en vigueur
Date de dépôt 2023-10-18
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Luo, Yingdong
  • Yao, Zhengping
  • Zhang, Daihua
  • Sell, David, Alexander
  • Yang, Jingyi
  • Deng, Xiaopei
  • Messer, Kevin
  • Bhargava, Samarth
  • Hourani, Rami
  • Godet, Ludovic

Abrégé

Embodiments of the present disclosure generally relate to methods for forming a waveguide. Methods may include measuring a waveguide substrate, the waveguide having a substrate thickness distribution; and depositing an index-matched layer onto a surface of the waveguide, the index-matched layer having a first surface disposed on the waveguide substrate and a second surface opposing the first surface, wherein the index-matched layer is disposed only over a portion of the waveguide substrate, and a device slope of a second surface of the index-matched layer is substantially the same as the waveguide slope of the first surface of the waveguide.

Classes IPC  ?

  • G02B 6/00 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage
  • G02B 27/01 - Dispositifs d'affichage "tête haute"

6.

GRAY TONE UNIFORMITY CONTROL OVER SUBSTRATE TOPOGRAPHY

      
Numéro d'application US2023077080
Numéro de publication 2024/086571
Statut Délivré - en vigueur
Date de dépôt 2023-10-17
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Yingchiao
  • Tsai, Chi-Ming
  • Chuang, Chun-Chih
  • Hu, Yung Peng

Abrégé

Embodiments of the present disclosure generally relate to lithography systems. More particularly, embodiments of the present disclosure relate to a method, a system, and a software application for a lithography process to control transmittance rate of write beams and write gray tone patterns in a single exposure operation. In one embodiment, a plurality of shots are provided by an image projection system in a lithography system to a photoresist layer. The plurality of shots exposes the photoresist layer to an intensity of light emitted from the image projection system. The local transmittance rate of the plurality of shots within an exposure area is varied to form varying step heights in the exposure area of the photoresist layer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

7.

SACRIFICIAL SOURCE/DRAIN FOR METALLIC SOURCE/DRAIN HORIZONTAL GATE ALL AROUND ARCHITECTURE

      
Numéro d'application US2023035084
Numéro de publication 2024/086064
Statut Délivré - en vigueur
Date de dépôt 2023-10-13
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Breil, Nicolas
  • Lee, Byeong Chan

Abrégé

Semiconductor devices and methods of manufacturing the same are described. The method includes forming a source region and a drain region adjacent a superlattice structure on a substrate. The source region and the drain region comprise a metallic silicide material. In some embodiments, a sacrificial material is first deposited and then removed to form a metallic silicide material in the source and drain region.

Classes IPC  ?

8.

HEAT SOURCE ARRANGEMENTS, PROCESSING CHAMBERS, AND RELATED METHODS TO FACILITATE DEPOSITION PROCESS ADJUSTABILITY

      
Numéro d'application US2023017849
Numéro de publication 2024/085915
Statut Délivré - en vigueur
Date de dépôt 2023-04-07
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Moradian, Ala
  • Chopra, Saurabh

Abrégé

The present disclosure relates to heat source arrangements, processing chambers, and related methods to facilitate deposition process adjustability. In one implementation, a processing chamber applicable for use in semiconductor manufacturing includes a lower window and an upper window. The lower window and the upper window at least partially define an internal volume. The processing chamber includes a substrate support disposed in the internal volume, and the substrate support includes a support face. The processing chamber includes one or more inner heat sources. Each inner heat source of the one or more inner heat sources is oriented substantially parallel to a surface of the support face. The processing chamber includes one or more outer heat sources disposed outwardly of the inner heat sources. Each outer heat source of the one or more outer heat sources is oriented nonparallel to the surface of the support face.

Classes IPC  ?

  • C30B 25/10 - Chauffage de l'enceinte de réaction ou du substrat
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire

9.

SCALING FOR DIE-LAST ADVANCED IC PACKAGING

      
Numéro d'application US2023034814
Numéro de publication 2024/086042
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Chen, Jang Fung
  • Laidig, Thomas L.
  • Kang, Chung-Shin
  • Tsai, Chi-Ming
  • Shen, William

Abrégé

Embodiments of the present disclosure relate to a system, a software application, and methods of digital lithography for semiconductor packaging. The method includes comparing positions of vias and via locations, generating position data based on the comparing the positions of vias and the via locations, providing the position data of the vias to a digital lithography device, updating a redistributed metal layer (RDL) mask pattern according to the position data such that RDL locations correspond to the positions of the vias, and projecting the RDL mask pattern with the digital lithography device.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • G03F 7/20 - Exposition; Appareillages à cet effet

10.

PROCESS CHAMBER WITH REFLECTOR

      
Numéro d'application US2023017763
Numéro de publication 2024/085913
Statut Délivré - en vigueur
Date de dépôt 2023-04-06
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Moradian, Ala
  • Tavakoli, Amir H.
  • Reimer, Peter
  • Lau, Shu-Kwan

Abrégé

A reflector and processing chamber having the same are described herein. In one example, a reflector is provided that includes cylindrical body, a cooling channel, and a reflective coating. The cylindrical body has an upper surface and a lower surface. The lower surface has a plurality of concave reflector structures disposed around a centerline of the cylindrical body. The cooling channel disposed in or on the cylindrical body. The reflective coating is disposed on the plurality of concave reflector structures.

Classes IPC  ?

  • C30B 25/10 - Chauffage de l'enceinte de réaction ou du substrat
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

11.

IMPROVED CHANNEL UNIFORMITY HORIZONTAL GATE ALL AROUND DEVICE

      
Numéro d'application US2023032101
Numéro de publication 2024/085972
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fronheiser, Jody
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian
  • Liu, Lequn

Abrégé

A method of forming a multi-layer semiconductor device on a substrate includes forming a superlattice of a plurality of alternating first layers composed of a first material and second layers formed of a second material, removing the second layers of the superlattice, etching the first material layers to form trimmed first layers therefrom, wherein the quantity of material removed from different ones of the first layers are different amounts, forming a capping layer over the first layers, measuring at least one of the distance between the capping layers formed on the different ones of the first layers, the thicknesses of the different ones of the capping layers formed on different ones of the trimmed first layers, and the different thicknesses of the combined thickness of different ones of the trimmed first layers and the capping layer formed thereover, and based on differences in the measurements, calculating a new thickness of the etched first layers.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

12.

MODIFYING PATTERNED FEATURES USING A DIRECTIONAL ETCH

      
Numéro d'application 17969368
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Andersen, Tassie
  • Liang, Shurong

Abrégé

Disclosed herein are approaches for modifying patterned features using a directional etch. In one approach, a method may include providing a stack of layers of a semiconductor device, forming an opening through the stack of layers, the opening defined by a first sidewall and a second sidewall, and delivering ions into the first sidewall in a reactive ion etching process. The ions maybe delivered at a first non-zero angle relative to a perpendicular extending from the substrate, wherein the reactive ion etching process removes a first portion of the stack of layers from just a lower section of the first sidewall.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

13.

PHASE RETRIEVAL

      
Numéro d'application 17972339
Statut En instance
Date de dépôt 2022-10-23
Date de la première publication 2024-04-25
Propriétaire Applied Materials Israel Ltd. (Israël)
Inventeur(s)
  • Kirshner, Benny
  • Golberg, Boris

Abrégé

A method for phase retrieval, the method may include (a) obtaining multiple out-of-focus intensity images of one or more point spread function targets; wherein the out-of-focus intensity images are generated by based on residual collected light signals obtained by a residual collection channel of an optical unit having a numerical aperture that exceeds 0.8; and (b) calculating phase information, based on the multiple out-of-focus intensity images and on a vectorial model of the point spread function.

Classes IPC  ?

  • G01N 21/47 - Dispersion, c. à d. réflexion diffuse
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser

14.

CHANNEL UNIFORMITY HORIZONTAL GATE ALL AROUND DEVICE

      
Numéro d'application 18462242
Statut En instance
Date de dépôt 2023-09-06
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Fronheiser, Jody
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian
  • Liu, Lequn

Abrégé

A method of forming a multi-layer semiconductor device on a substrate includes forming a superlattice of a plurality of alternating first layers composed of a first material and second layers formed of a second material, removing the second layers of the superlattice, etching the first material layers to form trimmed first layers therefrom, wherein the quantity of material removed from different ones of the first layers are different amounts, forming a capping layer over the first layers, measuring at least one of the distance between the capping layers formed on the different ones of the first layers, the thicknesses of the different ones of the capping layers formed on different ones of the trimmed first layers, and the different thicknesses of the combined thickness of different ones of the trimmed first layers and the capping layer formed thereover, and based on differences in the measurements, calculating a new thickness of the etched first layers.

Classes IPC  ?

  • H01L 21/8234 - Technologie MIS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/15 - Structures avec une variation de potentiel périodique ou quasi périodique, p.ex. puits quantiques multiples, superréseaux
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter

15.

GAS DELIVERY PALLET ASSEMBLY, CLEANING UNIT AND CHEMICAL MECHANICAL POLISHING SYSTEM HAVING THE SAME

      
Numéro d'application 17970434
Statut En instance
Date de dépôt 2022-10-19
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Velazquez, Edwin

Abrégé

A modular gas pallet assembly is disclosed herein, along with a cleaning unit and chemical mechanical polisher having the same. In one example, the gas pallet assembly includes three outlets and two or less inlets. The gas pallet assembly has first and second primary gas conduits secured to a first mounting plate. The second primary gas conduits is split into two branches, each having their own flow control. The modular gas pallet assembly is configured to provide gas towards a base plate of a substrate cleaner, to substrate gripping pins of the substrate cleaner, and to a bottom of a substrate held by the substrate gripping pins of the substrate cleaner.

Classes IPC  ?

  • B08B 5/02 - Nettoyage par la force de jets, p.ex. le soufflage de cavités
  • B24B 37/30 - Supports de pièce pour rodage simple face de surfaces planes
  • B24B 53/017 - Dispositifs ou moyens pour dresser, nettoyer ou remettre en état les outils de rodage

16.

METHOD FOR CREATING A SMOOTH DIAGONAL SURFACE USING A FOCUSED ION BEAM AND AN INNOVATIVE SCANNING STRATEGY

      
Numéro d'application 17972427
Statut En instance
Date de dépôt 2022-10-23
Date de la première publication 2024-04-25
Propriétaire APPLIED MATERIALS ISRAEL LTD. (Israël)
Inventeur(s) Zur, Yehuda

Abrégé

A method of milling a diagonal cut in a region of a sample, the method comprising: positioning the sample in a processing chamber having a charged particle beam column; moving the region of the sample under a field of view of the charged particle column; generating a charged particle beam with the charged particle beam column and scanning the charged particle beam over the region of the sample along scan lines arranged parallel to a slope of the diagonal cut; and repeating the generating and scanning step a plurality of times to mill the diagonal cut in the region of the sample; wherein, for each iteration of the generating and scanning steps, a velocity of the charged particle beam is slower when the beam is near a deep end of the diagonal cut than when the beam is near a shallow end of the diagonal cut.

Classes IPC  ?

  • H01J 37/305 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour couler, fondre, évaporer ou décaper
  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage
  • H01L 21/263 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

17.

METHODS, SYSTEMS, AND APPARATUS FOR MONITORING RADIATION OUTPUT OF LAMPS

      
Numéro d'application 18381146
Statut En instance
Date de dépôt 2023-10-16
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Atanos, Ashur J.
  • Paul, Khokan C.
  • Sheng, Tao

Abrégé

Embodiments of the present disclosure relates to methods, systems, and apparatus for monitoring radiation output of lamps of processing chambers. In some embodiments, a system contains a plurality of lamps coupled to a chamber, and one or more radiation sensors. Each lamp is identified with one or more zones, the radiation sensors are coupled to the chamber, where each radiation sensor is proximal at least one lamp. A controller contains instructions that, when executed, cause: the radiation sensors to convey, to the controller, information associated with radiation emitted by the lamps; the controller to analyze the information, the analyzing including: for each zone: determining a function of radiation over time; and monitoring the function for a condition associated with lamp aging; and the controller to, based on the analyzing the information, perform at least one of the following: vary input power delivered to the lamps; and generate an alert.

Classes IPC  ?

  • H01J 9/50 - Réparation ou régénération des tubes ou des lampes à décharge usés ou défectueux, ou de leurs composants récupérables
  • G01T 1/185 - Mesure de l'intensité de radiation avec des aménagements de chambre d'ionisation

18.

GRAY TONE UNIFORMITY CONTROL OVER SUBSTRATE TOPOGRAPHY

      
Numéro d'application 18048748
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wang, Yingchiao
  • Tsai, Chi-Ming
  • Chuang, Chun-Chih
  • Hu, Yung Peng

Abrégé

Embodiments of the present disclosure generally relate to lithography systems. More particularly, embodiments of the present disclosure relate to a method, a system, and a software application for a lithography process to control transmittance rate of write beams and write gray tone patterns in a single exposure operation. In one embodiment, a plurality of shots are provided by an image projection system in a lithography system to a photoresist layer. The plurality of shots exposes the photoresist layer to an intensity of light emitted from the image projection system. The local transmittance rate of the plurality of shots within an exposure area is varied to form varying step heights in the exposure area of the photoresist layer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G02B 26/08 - Dispositifs ou dispositions optiques pour la commande de la lumière utilisant des éléments optiques mobiles ou déformables pour commander la direction de la lumière

19.

THREE-DIMENSIONAL MEMORY DEVICE WORDLINES WITH REDUCED BLOCKING LAYER DAMAGE

      
Numéro d'application 18486576
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ahn, Jaesoo
  • Romero, Jose Alexandro
  • Bhatnagar, Kunal
  • Pakala, Mahendra

Abrégé

A method includes obtaining a base structure of a three-dimensional (3D) memory device, forming, on the base structure, a blocking layer including a high-k dielectric material, and forming, on the blocking layer, a wordline for the 3D memory device including molybdenum using an atomic layer deposition (ALD) process.

Classes IPC  ?

  • H10B 43/20 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

20.

U-DISPLAY STRUCTURE WITH QD COLOR CONVERSION AND METHODS OF MANUFACTURE

      
Numéro d'application 18490847
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2024-04-25
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Li, Zhiyong
  • Ganapathiappan, Sivapackia
  • Zhu, Mingwei
  • Patibandla, Nag B.
  • Ng, Hou T.
  • Xu, Lisong
  • Kai, Ding
  • Sivanandan, Kulandaivelu

Abrégé

Embodiments of the present disclosure generally relate to LED pixels and methods of fabricating LED pixels. A device includes a backplane, at least three LEDs disposed on the backplane, subpixel isolation (SI) structures disposed defining wells of at least three subpixels, a reflection material is disposed on sidewalls and a top surface of the SI structures, at least three of the subpixels have a color conversion material disposed in the wells, an encapsulation layer disposed over the subpixel isolation structures and the subpixels, a light filter layer disposed over the encapsulation layer and micro-lenses disposed over the light filter layer and over each of the wells of the subpixels.

Classes IPC  ?

  • H01L 33/60 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de mise en forme du champ optique Éléments réfléchissants
  • H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des groupes principaux , ou dans une seule sous-classe de , , p.ex. circuit hybrides

21.

IMPEDANCE CONTROL OF LOCAL AREAS OF A SUBSTRATE DURING PLASMA DEPOSITION THEREON IN A LARGE PECVD CHAMBER

      
Numéro d'application US2023077133
Numéro de publication 2024/086606
Statut Délivré - en vigueur
Date de dépôt 2023-10-18
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ye, Zheng John
  • Lam, Andrew C.
  • Zhao, Zeqiong
  • Zhou, Jianhua
  • An, Hshiang
  • Anwar, Suhail
  • Nakajima, Yoshitake
  • Chang, Fu-Ting

Abrégé

Embodiments of the present disclosure generally relate to methods and apparatus for measuring and controlling local impedances at a substrate support in a plasma processing chamber during processing of a substrate. A substrate support includes a plurality of substrate support pins wherein the radio frequency voltage, current and phase of each of the plurality of substrate support pins are measured and impedances of the support pins are adjusted in real time. Each of the substrate support pins is coupled to an associated adjustable impedance circuit that may be remotely controlled. In one embodiment a variable capacitor is used to adjust the impedance of the impedance circuit coupled to the associated substrate support pin and may be remotely adjusted with a stepper motor. In another embodiment a microcontroller may control the impedance adjustments for all of the plurality of substrate support pins and may be used to track these impedances with each other and with a bulk impedance of the plasma processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

22.

RU LINER ABOVE A BARRIER LAYER

      
Numéro d'application US2023035532
Numéro de publication 2024/086295
Statut Délivré - en vigueur
Date de dépôt 2023-10-19
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Zhaoxuan
  • Lei, Jianxin
  • Hou, Wenting
  • Kang, Sung-Kwan
  • Iyer, Anand Nilakantan

Abrégé

A method to produce a layered substrate, which includes the steps of depositing a diffusion barrier layer on the substrate; depositing an underlayer comprising a Group 6 metal on the barrier layer; and depositing a ruthenium layer on the underlayer, to produce the layered substrate. A layered substrate is also disclosed.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

23.

THREE-DIMENSIONAL MEMORY DEVICE WORDLINES WITH REDUCED BLOCKING LAYER DAMAGE

      
Numéro d'application US2023035338
Numéro de publication 2024/086177
Statut Délivré - en vigueur
Date de dépôt 2023-10-17
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ahn, Jaesoo
  • Romero, Jose Alexandro
  • Bhatnagar, Kunal
  • Pakala, Mahendra

Abrégé

A method includes obtaining a base structure of a three-dimensional (3D) memory device, forming, on the base structure, a blocking layer including a high-k dielectric material, and forming, on the blocking layer, a wordline for the 3D memory device including molybdenum using an atomic layer deposition (ALD) process.

Classes IPC  ?

  • H10B 43/35 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H10B 43/27 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
  • H10B 43/50 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région limite entre la région noyau et la région de circuit périphérique
  • H10B 41/35 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par la région noyau de mémoire avec un transistor de sélection de cellules, p.ex. NON-ET
  • H10B 41/27 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
  • H10B 41/50 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par la région limite entre la région noyau et la région de circuit périphérique

24.

GAS DELIVERY PALLET ASSEMBLY, CLEANING UNIT AND CHEMICAL MECHANICAL POLISHING SYSTEM HAVING THE SAME

      
Numéro d'application US2023032374
Numéro de publication 2024/085975
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-04-25
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Velazquez, Edwin

Abrégé

A modular gas pallet assembly is disclosed herein, along with a cleaning unit and chemical mechanical polisher having the same. In one example, the gas pallet assembly includes three outlets and two or less inlets. The gas pallet assembly has first and second primary gas conduits secured to a first mounting plate. The second primary gas conduits is split into two branches, each having their own flow control. The modular gas pallet assembly is configured to provide gas towards a base plate of a substrate cleaner, to substrate gripping pins of the substrate cleaner, and to a bottom of a substrate held by the substrate gripping pins of the substrate cleaner.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

25.

Collimator for a physical vapor deposition (PVD) chamber

      
Numéro d'application 29863219
Numéro de brevet D1024149
Statut Délivré - en vigueur
Date de dépôt 2022-12-16
Date de la première publication 2024-04-23
Date d'octroi 2024-04-23
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Riker, Martin Lee
  • Varkey, Luke Vianney
  • Xie, Xiangjin

26.

Chamber inlet

      
Numéro d'application 29787536
Numéro de brevet D1023987
Statut Délivré - en vigueur
Date de dépôt 2021-06-07
Date de la première publication 2024-04-23
Date d'octroi 2024-04-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Shono, Eric Kihara
  • Pandey, Vishwas Kumar
  • Olsen, Christopher S.
  • Lo, Hansel
  • Tjandra, Agus Sofian
  • Kim, Taewan
  • Kaufman-Osborn, Tobin

27.

COMPENSATION FOR SLURRY COMPOSITION IN IN-SITU ELECTROMAGNETIC INDUCTIVE MONITORING

      
Numéro d'application 18240587
Statut En instance
Date de dépôt 2023-08-31
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Siordia, Andrew

Abrégé

A method of chemical mechanical polishing includes bringing a conductive layer of a substrate into contact with a polishing pad, supplying a polishing liquid to the polishing pad, generating relative motion between the substrate and the polishing pad, monitoring the substrate with an in-situ electromagnetic induction monitoring system as the conductive layer is polished to generate a sequence of signal values that depend on a thickness of the conductive layer, and determining a sequence of thickness values for the conductive layer based on the sequence of signal values. Determining the sequence of thickness values includes at least partially compensating for a contribution of the polishing liquid to the signal values.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 37/04 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes
  • B24B 37/10 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes caractérisés par le déplacement de la pièce ou de l'outil de rodage pour un rodage simple face
  • G01B 7/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques électriques ou magnétiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur

28.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Numéro d'application 18046290
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abrégé

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

Classes IPC  ?

  • F24F 3/163 - Postes de travail en air pur, c. à d. zones sélectionnées à l'intérieur d'une enceinte dans lesquelles de l’air filtré est acheminé

29.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Numéro d'application 18481163
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Hong, Liang
  • Lu, Jun-Feng

Abrégé

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]

30.

SCALING FOR DIE-LAST ADVANCED IC PACKAGING

      
Numéro d'application 18484016
Statut En instance
Date de dépôt 2023-10-10
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chen, Jang Fung
  • Laidig, Thomas L.
  • Kang, Chung-Shin
  • Tsai, Chi-Ming
  • Shen, Wei-Ning

Abrégé

Embodiments of the present disclosure relate to a system, a software application, and methods of digital lithography for semiconductor packaging. The method includes comparing positions of vias and via locations, generating position data based on the comparing the positions of vias and the via locations, providing the position data of the vias to a digital lithography device, updating a redistributed metal layer (RDL) mask pattern according to the position data such that RDL locations correspond to the positions of the vias, and projecting the RDL mask pattern with the digital lithography device.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

31.

SACRIFICIAL SOURCE/DRAIN FOR METALLIC SOURCE/DRAIN HORIZONTAL GATE ALL AROUND ARCHITECTURE

      
Numéro d'application 18378850
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Breil, Nicolas
  • Lee, Byeong Chan

Abrégé

Semiconductor devices and methods of manufacturing the same are described. The method includes forming a source region and a drain region adjacent to a superlattice structure on a substrate. The source region and the drain region comprise a metallic silicide material. In some embodiments, a sacrificial material is first deposited and then removed to form a metallic silicide material in the source and drain region.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

32.

FREEFORM OPTICAL SUBSTRATES IN WAVEGUIDE DISPLAYS

      
Numéro d'application 18398409
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Sell, David Alexander
  • Bhargava, Samarth

Abrégé

Embodiments of the present disclosure generally relate to methods of forming a substrate having a target thickness distribution at one or more eyepiece areas across a substrate. The substrate includes eyepiece areas corresponding to areas where optical device eyepieces are to be formed on the substrate. Each eyepiece area includes a target thickness distribution. A base substrate thickness distribution of a base substrate is measured such that a target thickness change can be determined. The methods described herein are utilized along with the target thickness change to form a substrate with the target thickness distribution.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G02B 25/00 - Oculaires; Loupes

33.

APPARATUS AND METHOD FOR LASER MACHINING OF A SUBSTRATE

      
Numéro d'application 18278447
Statut En instance
Date de dépôt 2021-03-10
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS ITALIA S.R.L. (Italie)
Inventeur(s)
  • Franklin, Jeffrey L.
  • Furin, Valentina
  • Cellere, Giorgio
  • Verhaverbeke, Steven
  • Leschkies, Kurtis
  • Chen, Han-Wen
  • Giback, Park

Abrégé

An apparatus for hole drilling in a substrate is provided. The apparatus includes a laser system configured to apply a laser beam onto the substrate for removing material from a set of areas on the substrate by directing the laser beam onto predefined positions corresponding to the set of areas on the substrate in a sequence. The apparatus includes a ventilation system configured to produce a fluid flow along one or more sides of the substrate. The apparatus controls the laser beam such that the laser beam is sequentially positioned according to a first laser beam movement direction and a second laser beam movement direction.

Classes IPC  ?

  • B23K 26/38 - Enlèvement de matière par perçage ou découpage

34.

METHODS AND APPARATUS FOR COOLING A SUBSTRATE SUPPORT

      
Numéro d'application 17964668
Statut En instance
Date de dépôt 2022-10-12
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Decottignies, Robert Irwin
  • Fish, Roger Bradford
  • Szudarski, Steven
  • Kintner, Shane Lawrence

Abrégé

Methods and apparatus for processing a substrate are provided herein. For example, an apparatus for processing a substrate comprises a process chamber configured to process a substrate, a substrate support comprising a heat sink configured to cool the substrate support during operation and a water trap panel comprising a pumping ring configured to cool the water trap panel such that the water trap panel condenses water vapor molecules and drops a process chamber pressure during operation, and a chiller operably coupled to the substrate support and configured to supply a cooling fluid to the substrate support via a cooling fluid line that connects to the heat sink and the pumping ring via a serial configuration or a parallel configuration.

Classes IPC  ?

  • H05K 7/20 - Modifications en vue de faciliter la réfrigération, l'aération ou le chauffage

35.

BIMETALLIC FACEPLATE FOR SUBSTRATE PROCESSING

      
Numéro d'application 17964260
Statut En instance
Date de dépôt 2022-10-12
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shrivastava, Gaurav
  • Harapanhalli, Pavankumar Ramanand
  • Gondhalekar, Sudhir R.
  • Yang, Yao-Hung
  • Chang, Chih-Yang

Abrégé

A bimetallic faceplate for substrate processing is provided including a plate having a plurality of gas distribution holes and formed of a first metal having a first coefficient of thermal expansion, the plate having at least one groove around a center of the plate and spaced from the center of the plate; and a metallic element disposed in the at least one groove and fixed to the plate in the at least one groove, the metallic element having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the metallic element being symmetrically arranged on or in the plate. A chamber for substrate processing is provided that includes a bimetallic faceplate. Also, a method of making a bimetallic faceplate is provided.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

36.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Numéro d'application 18233760
Statut En instance
Date de dépôt 2023-08-14
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Wayne

Abrégé

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

37.

ION IMPLANTATION TO MODIFY GLASS LOCALLY FOR OPTICAL DEVICES

      
Numéro d'application 18537504
Statut En instance
Date de dépôt 2023-12-12
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pi, Nai-Wen
  • Fu, Jinxin
  • Luo, Kang
  • Godet, Ludovic

Abrégé

Embodiments described herein provide for optical devices with methods of forming optical device substrates having at least one area of increased refractive index or scratch resistance. One method includes disposing an etch material on a discrete area of an optical device substrate or an optical device layer, disposing a diffusion material in the discrete area, and removing excess diffusion material to form an optical material in the optical device substrate or the optical device layer having a refractive index greater than or equal to 2.0 or a hardness greater than or equal to 5.5 Mohs.

Classes IPC  ?

  • C23C 14/48 - Implantation d'ions
  • C03C 23/00 - Autres traitements de surface du verre, autre que sous forme de fibres ou de filaments
  • C23C 14/00 - Revêtement par évaporation sous vide, pulvérisation cathodique ou implantation d'ions du matériau composant le revêtement
  • C23C 14/02 - Pré-traitement du matériau à revêtir
  • C23C 14/58 - Post-traitement

38.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Numéro d'application US2022054317
Numéro de publication 2024/081015
Statut Délivré - en vigueur
Date de dépôt 2022-12-30
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abrégé

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/40 - Adaptation automatique de l'impédance de charge à l'impédance de la source

39.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Numéro d'application US2023032396
Numéro de publication 2024/081085
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Waye

Abrégé

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

40.

BIMETALLIC FACEPLATE FOR SUBSTRATE PROCESSING

      
Numéro d'application US2023032461
Numéro de publication 2024/081087
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shrivastava, Gaurav
  • Harapanhalli, Pavankumar Ramanand
  • Gondhalekar, Sudhir R.
  • Yang, Yao-Hung
  • Chang, Chih-Yang

Abrégé

A bimetallic faceplate for substrate processing is provided including a plate having a plurality of gas distribution holes and formed of a first metal having a first coefficient of thermal expansion, the plate having at least one groove around a center of the plate and spaced from the center of the plate; and a metallic element disposed in the at least one groove and fixed to the plate in the at least one groove, the metallic element having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the metallic element being symmetrically arranged on or in the plate. A chamber for substrate processing is provided that includes a bimetallic faceplate. Also, a method of making a bimetallic faceplate is provided.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

41.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Numéro d'application US2023032925
Numéro de publication 2024/081097
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

42.

PARTICLE REDUCTION IN PHYSICAL VAPOR DEPOSITION OF AMORPHOUS SILICON

      
Numéro d'application US2023034799
Numéro de publication 2024/081221
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fang, Peijiao
  • Li, Mingdong
  • Liu, Chengyu

Abrégé

Methods for depositing amorphous silicon films via physical vapor deposition processes are disclosed. In some embodiments, a method of depositing amorphous silicon in a physical vapor deposition (PVD) process chamber includes (a) depositing an amorphous silicon layer atop a surface of a substrate disposed on a substrate support via a physical vapor deposition process, in the meanwhile amorphous silicon is also deposited atop components within the PVD process chamber; and depositing a glue layer atop the amorphous silicon deposited on the components. The glue layer can be a silicon compound. The silicon compound can be a compound of silicon with one or more of carbon, nitrogen, or oxygen. In some embodiments, the silicon compound is SiC, Si N, SiO, SiCN, or SiON.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

43.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Numéro d'application US2023075316
Numéro de publication 2024/081509
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abrégé

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

Classes IPC  ?

44.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Numéro d'application US2023076603
Numéro de publication 2024/081735
Statut Délivré - en vigueur
Date de dépôt 2023-10-11
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abrégé

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

45.

IN-LINE MONITORING OF OLED LAYER THICKNESS AND DOPANT CONCENTRATION

      
Numéro d'application 18395081
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tung, Yeishin
  • Kwak, Byung Sung
  • Visser, Robert Jan
  • Zhao, Guoheng
  • Egan, Todd J.
  • Kabra, Dinesh
  • Banappanavar, Gangadhar

Abrégé

An organic light-emitting diode (OLED) deposition system includes two deposition chambers, a transfer chamber between the two deposition chambers, a metrology system having one or more sensors to perform measurements of the workpiece within the transfer chamber, and a control system to cause the system to form an organic light-emitting diode layer stack on the workpiece. Vacuum is maintained around the workpiece while the workpiece is transferred between the two deposition chambers and while retaining the workpiece within the transfer chamber. The control system is configured to cause the two deposition chambers to deposit two layers of organic material onto the workpiece, and to receive a first plurality of measurements of the workpiece in the transfer chamber from the metrology system.

Classes IPC  ?

  • H10K 71/00 - Fabrication ou traitement spécialement adaptés aux dispositifs organiques couverts par la présente sous-classe
  • C23C 14/12 - Composé organique
  • C23C 14/24 - Evaporation sous vide
  • C23C 14/50 - Porte-substrat
  • C23C 14/54 - Commande ou régulation du processus de revêtement
  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
  • H10K 71/16 - Dépôt d'une matière active organique en utilisant un dépôt physique en phase vapeur [PVD], p. ex. un dépôt sous vide ou une pulvérisation cathodique
  • H10K 71/70 - Tests, p. ex. tests de durée de vie accélérée

46.

METHODS AND PRECURSOR FORMULATIONS FOR FORMING ADVANCED POLISHING PADS BY USE OF AN ADDITIVE MANUFACTURING PROCESS

      
Numéro d'application 18212285
Statut En instance
Date de dépôt 2023-06-21
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ganapathiappan, Sivapackia
  • Fu, Boyi
  • Chockalingam, Ashwin
  • Redfield, Daniel
  • Bajaj, Rajeev
  • Orilall, Mahendra C.
  • Ng, Hou T.
  • Fung, Jason G.
  • Yamamura, Mayu

Abrégé

Embodiments of the present disclosure relate to advanced polishing pads with tunable chemical, material and structural properties, and methods of manufacturing the same. According to one or more embodiments, a method for forming or otherwise preparing a polishing article by sequentially forming a plurality of polymer layers is provided and includes: (a) dispensing a plurality of droplets of a polymer precursor composition onto a surface of a previously formed at least partially cured polymer layer, where the polymer precursor composition contains a first precursor component containing an epoxide group and a photoinitiator component which generates a photoacid when exposed to UV light, (b) at least partially curing the plurality of droplets to form an at least partially cured polymer layer, and (c) repeating (a) and (b).

Classes IPC  ?

  • B24B 37/24 - Tampons de rodage pour travailler les surfaces planes caractérisés par la composition ou les propriétés des matériaux du tampon
  • B24B 37/22 - Tampons de rodage pour travailler les surfaces planes caractérisés par une structure multicouche
  • B24B 37/26 - Tampons de rodage pour travailler les surfaces planes caractérisés par la forme ou le profil de la surface du tampon de rodage, p.ex. rainurée
  • B24D 3/28 - Propriétés physiques des corps ou feuilles abrasives, p.ex. surfaces abrasives de nature particulière; Corps ou feuilles abrasives caractérisés par leurs constituants les constituants étant utilisés comme agglomérants et étant essentiellement organiques en résines
  • B24D 11/00 - Caractéristiques de construction des matériaux abrasifs flexibles; Caractéristiques particulières de la fabrication de ces matériaux
  • B24D 11/04 - Surfaces à dureté variable
  • B29C 35/08 - Chauffage ou durcissement, p.ex. réticulation ou vulcanisation utilisant l'énergie ondulatoire ou un rayonnement corpusculaire
  • B29C 64/112 - Procédés de fabrication additive n’utilisant que des matériaux liquides ou visqueux, p.ex. dépôt d’un cordon continu de matériau visqueux utilisant des gouttelettes individuelles, p.ex. de buses de jet
  • B33Y 10/00 - Procédés de fabrication additive
  • B33Y 70/00 - Matériaux spécialement adaptés à la fabrication additive
  • B33Y 80/00 - Produits obtenus par fabrication additive
  • C09D 4/00 - Compositions de revêtement, p.ex. peintures, vernis ou vernis-laques, à base de composés non macromoléculaires organiques ayant au moins une liaison non saturée carbone-carbone polymérisable
  • C09G 1/16 - Autres compositions de produits à polir à base substances non cireuses à base de résines naturelles ou synthétiques

47.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Numéro d'application 18238891
Statut En instance
Date de dépôt 2023-08-28
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

Classes IPC  ?

  • B08B 13/00 - Accessoires ou parties constitutives, d'utilisation générale, des machines ou appareils de nettoyage
  • B08B 5/00 - Nettoyage par des procédés impliquant l'utilisation d'un courant d'air ou de gaz
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

48.

INTEGRATED OPTICAL SENSOR CONTROLLER FOR DEVICEMANUFACTURING MACHINES

      
Numéro d'application 18398723
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Shang, Kiyki-Shiy
  • Taraboukhine, Mikhail
  • Kode, Venkata Raghavaiah Chowdhary

Abrégé

Implementations disclosed describe an integrated sensor controller comprising a sensor circuit and a logic circuit. The sensor circuit includes a light source driver to generate a driving signal, a demultiplexer to produce, using the driving signal, a plurality of output driving signals to be delivered to one of a plurality of sensors, and an amplifier to: receive a first signal from a first sensor, the first signal being associated with a first event representative of a position of a substrate within a device manufacturing machine, and generate a second signal. The sensor circuit further includes an analog-to-digital converter to receive the second signal and generate a third signal. The logic circuit includes a memory device and a processing device coupled to the memory device, the processing device to obtain based on the third signal, information about the position of the substrate.

Classes IPC  ?

  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • B25J 9/16 - Commandes à programme
  • B25J 11/00 - Manipulateurs non prévus ailleurs
  • B25J 13/08 - Commandes pour manipulateurs au moyens de dispositifs sensibles, p.ex. à la vue ou au toucher
  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

49.

Particle Reduction in Physical Vapor Deposition of Amorphous Silicon

      
Numéro d'application 18378234
Statut En instance
Date de dépôt 2023-10-10
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fang, Peijiao
  • Li, Mingdong
  • Liu, Chengyu

Abrégé

Methods for depositing amorphous silicon films via physical vapor deposition processes are disclosed. In some embodiments, a method of depositing amorphous silicon in a physical vapor deposition (PVD) process chamber includes (a) depositing an amorphous silicon layer atop a surface of a substrate disposed on a substrate support via a physical vapor deposition process, in the meanwhile amorphous silicon is also deposited atop components within the PVD process chamber; and depositing a glue layer atop the amorphous silicon deposited on the components. The glue layer can be a silicon compound. The silicon compound can be a compound of silicon with one or more of carbon, nitrogen, or oxygen. In some embodiments, the silicon compound is SiC, SiN, SiO, SiCN, or SiON.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/52 - Commande ou régulation du processus de dépôt

50.

MULTI-STEP PROCESS FOR FLOWABLE GAP-FILL FILM

      
Numéro d'application 18392534
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Clemons, Maximillian
  • Bekiaris, Nikolaos
  • Nemani, Srinivas D.

Abrégé

Generally, examples described herein relate to methods and processing systems for performing multiple processes in a same processing chamber on a flowable gap-fill film deposited on a substrate. In an example, a semiconductor processing system includes a processing chamber and a system controller. The system controller includes a processor and memory. The memory stores instructions, that when executed by the processor cause the system controller to: control a first process within the processing chamber performed on a substrate having thereon a film deposited by a flowable process, and control a second process within the process chamber performed on the substrate having thereon the film. The first process includes stabilizing bonds in the film to form a stabilized film. The second process includes densifying the stabilized film.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

51.

TOTAL OR LOCAL THICKNESS VARIATION FOR OPTICAL DEVICES

      
Numéro d'application 18381604
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Luo, Yingdong
  • Yao, Zhengping
  • Zhang, Daihua
  • Sell, David Alexander
  • Yang, Jingyi
  • Deng, Xiaopei
  • Messer, Kevin
  • Bhargava, Samarth
  • Hourani, Rami
  • Godet, Ludovic

Abrégé

Embodiments of the present disclosure generally relate to methods for forming a waveguide. Methods may include measuring a waveguide substrate, the waveguide having a substrate thickness distribution; and depositing an index-matched layer onto a surface of the waveguide, the index-matched layer having a first surface disposed on the waveguide substrate and a second surface opposing the first surface, wherein the index-matched layer is disposed only over a portion of the waveguide substrate, and a device slope of a second surface of the index-matched layer is substantially the same as the waveguide slope of the first surface of the waveguide.

Classes IPC  ?

  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré
  • G02B 6/124 - Lentilles géodésiques ou réseaux intégrés
  • G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
  • G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,

52.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Numéro d'application 18221063
Statut En instance
Date de dépôt 2023-07-12
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abrégé

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a sub-zero degree temperature.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

53.

METHOD TO MEASURE LIGHT LOSS OF OPTICAL FILMS AND OPTICAL SUBSTRATES

      
Numéro d'application 18397977
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Fu, Jinxin
  • Luo, Kang
  • Hayee, Fariah
  • Godet, Ludovic

Abrégé

A method of optical device metrology is provided. The method includes introducing a first type of light into a first optical device during a first time period, the first optical device including an optical substrate and an optical film disposed on the optical substrate, the first optical device further including a first surface, a second surface, and one or more sides connecting the first surface with the second surface; and measuring, during the first time period, a quantity of the first type of light transmitted from a plurality of locations on the first surface or the second surface during the first time period, wherein the measuring is performed by a detector coupled to one or more fiber heads positioned to collect the light transmitted from the plurality of locations.

Classes IPC  ?

54.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Numéro d'application 17964621
Statut En instance
Date de dépôt 2022-10-12
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abrégé

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

55.

ENDPOINT OPTIMIZATION FOR SEMICONDUCTOR PROCESSES

      
Numéro d'application 17966634
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Vaxman, Avishay
  • Zhang, Qintao
  • Koch, Jeffrey P.
  • Surdock, David P.
  • Swart, Wayne R.
  • Lee, David J.
  • Hong, Samphy
  • Vincent Eddy, Aldrin Bernard
  • Deyo, Daniel G.

Abrégé

A camera may capture reflected light from the surface of the wafer during a semiconductor process that adds or removes material from the wafer, such as an etch process. To accurately determine an endpoint for the process, a camera sampling rate and light source intensity may be optimized in the process recipe. Optimizing the light source intensity may include characterizing light intensities that will be reflected from the waiver using an image of the wafer. Pixel intensities may be used to adjust the light source intensity to compensate for more complex wafer patterns. Optimizing the camera sampling rates may include nondestructively rotating a view of the wafer and converting the sampled intensities to the frequency domain. The camera sampling rate may be increased or decreased to remove spatial noise from the image without oversampling unnecessarily. These optimized parameters may then generate a clean, repeatable trace for endpoint determination.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/00 - Analyse d'image
  • G06T 7/80 - Analyse des images capturées pour déterminer les paramètres de caméra intrinsèques ou extrinsèques, c. à d. étalonnage de caméra
  • H04N 5/225 - Caméras de télévision
  • H04N 5/232 - Dispositifs pour la commande des caméras de télévision, p.ex. commande à distance

56.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Numéro d'application 18485009
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abrégé

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

57.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Numéro d'application CN2022124946
Numéro de publication 2024/077525
Statut Délivré - en vigueur
Date de dépôt 2022-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Hong, Liang

Abrégé

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

58.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Numéro d'application US2023034749
Numéro de publication 2024/081194
Statut Délivré - en vigueur
Date de dépôt 2023-10-09
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abrégé

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a subzero degree temperature.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

59.

METHODS AND APPARATUS FOR COOLING A SUBSTRATE SUPPORT

      
Numéro d'application US2023034786
Numéro de publication 2024/081210
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Decottignies, Robert Irwin
  • Fish, Roger Bradford
  • Szudarski, Steven
  • Kintner, Shane Lawrence

Abrégé

Methods and apparatus for processing a substrate are provided herein. For example, an apparatus for processing a substrate comprises a process chamber configured to process a substrate, a substrate support comprising a heat sink configured to cool the substrate support during operation and a water trap panel comprising a pumping ring configured to cool the water trap panel such that the water trap panel condenses water vapor molecules and drops a process chamber pressure during operation, and a chiller operably coupled to the substrate support and configured to supply a cooling fluid to the substrate support via a cooling fluid line that connects to the heat sink and the pumping ring via a serial configuration or a parallel configuration.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 14/50 - Porte-substrat

60.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Numéro d'application US2023034847
Numéro de publication 2024/081253
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abrégé

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

61.

DETERMINING SUBSTRATE PROFILE PROPERTIES USING MACHINE LEARNING

      
Numéro d'application US2023076657
Numéro de publication 2024/081764
Statut Délivré - en vigueur
Date de dépôt 2023-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Li, Thomas
  • Ummethala, Upendra V.
  • Erickson, Blake
  • Kumar, Prashanth
  • Kutney, Michael
  • Tindel, Steven Trey
  • Zhu, Zhaozhao

Abrégé

Spectral data associated with a first prior substrate and/or a second prior substrate is obtained. A metrology measurement value associated with the first portion of the first prior substrate is determined based on one or more metrology measurement values measured for at least one of a second portion of the first prior substrate or a third portion of a second prior substrate. Training data for training a machine learning model to predict metrology measurement values of a current substrate is generated. Generating the training data includes generating a first training input including the spectral data associated with the first prior substrate and generating a first target output for the first training input, the first target output including the determined metrology measurement value associated with the first portion of the first prior substrate. The training data is provided to train the machine learning model.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

62.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Numéro d'application US2023076738
Numéro de publication 2024/081815
Statut Délivré - en vigueur
Date de dépôt 2023-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abrégé

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05B 13/04 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs
  • G06N 20/00 - Apprentissage automatique

63.

GROWTH MONITOR SYSTEM AND METHODS FOR FILM DEPOSITION

      
Numéro d'application 18538996
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Baghbanzadeh, Mostafa
  • Sheng, Tao
  • Choo, Enle

Abrégé

The present disclosure generally relates to process chambers for semiconductor processing. In one embodiment, a growth monitor for substrate processing is provided. The growth monitor includes a sensor holder and a crystal disposed in the sensor holder having a front side and a back side. An opening is formed in the sensor holder exposing a front side of the crystal. A gas inlet is disposed through the sensor holder to a plenum formed by the back side of the crystal and the sensor holder. A gas outlet is fluidly coupled to the plenum.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G01B 17/02 - Dispositions pour la mesure caractérisées par l'utilisation de vibrations infrasonores, sonores ou ultrasonores pour mesurer l'épaisseur

64.

SWITCHING CONTROL ALGORITHMS ON DETECTION OF EXPOSURE OF UNDERLYING LAYER DURING POLISHING

      
Numéro d'application 18542093
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Lee, Harry Q.
  • Cherian, Benjamin
  • Gage, David Maxwell

Abrégé

A method of controlling polishing includes polishing a stack of adjacent conductive layers on a substrate, measuring with an in-situ eddy current monitoring system a sequence of characterizing values for the substrate during polishing, calculating a polishing rate from the sequence of characterizing values repeatedly during polishing, calculating one or more adjustments for one or more polishing parameters based on a current polishing rate using a first control algorithm for an initial time period, detecting a change in the polishing rate that indicates exposure of the underlying conductive layer, and calculating one or more adjustments for one or more polishing parameters based on the polishing rate using a different second control algorithm for a subsequent time period after detecting the change in the polishing rate.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 37/013 - Dispositifs ou moyens pour détecter la fin de l'opération de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • H01L 21/321 - Post-traitement

65.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Numéro d'application 17960569
Statut En instance
Date de dépôt 2022-10-05
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Singha Roy, Susmit
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abrégé

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

66.

DIELECTRIC ON DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Numéro d'application 17960979
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire
  • Applied Materials, Inc. (USA)
  • Regents of the University of California (USA)
Inventeur(s)
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abrégé

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

67.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application 17961553
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

68.

BOTTOM CONTACT FORMATION FOR 4F2 VERTICAL DRAM

      
Numéro d'application 17963555
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Zhang, Qintao
  • Shim, Kyu-Ha

Abrégé

Disclosed herein are approaches for forming contacts in a 4F2 vertical dynamic random-access memory device. One method includes providing a plurality of fins extending from a substrate, forming a spacer layer over the plurality of fins, and etching the substrate to expose a base portion of the plurality of fins. The method may include forming a doped layer along the base portion of the plurality of fins and along an upper surface of the substrate, and forming an oxide spacer over the doped layer.

Classes IPC  ?

  • H01L 27/108 - Structures de mémoires dynamiques à accès aléatoire
  • H01L 29/66 - Types de dispositifs semi-conducteurs

69.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Numéro d'application US2022054313
Numéro de publication 2024/076357
Statut Délivré - en vigueur
Date de dépôt 2022-12-30
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abrégé

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

70.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application US2023017115
Numéro de publication 2024/076386
Statut Délivré - en vigueur
Date de dépôt 2023-03-31
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

71.

CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Numéro d'application US2023017802
Numéro de publication 2024/076390
Statut Délivré - en vigueur
Date de dépôt 2023-04-06
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abrégé

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

72.

DIELECTRIC-ON-DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Numéro d'application US2023034396
Numéro de publication 2024/076587
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire
  • APPLIED MATERIALS, INC. (USA)
  • THE REGENTS OF THE UNIVERSITY OF CALIFORNIA (USA)
Inventeur(s)
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abrégé

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/40 - Oxydes
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/34 - Nitrures
  • C23C 16/02 - Pré-traitement du matériau à revêtir

73.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Numéro d'application US2023034695
Numéro de publication 2024/076767
Statut Délivré - en vigueur
Date de dépôt 2023-10-06
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abrégé

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

74.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Numéro d'application US2023075315
Numéro de publication 2024/076860
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Roy, Susmit Singha
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abrégé

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/26 - Bombardement par des radiations ondulatoires ou corpusculaires
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

75.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Numéro d'application 17960666
Statut En instance
Date de dépôt 2022-10-05
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abrégé

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

Classes IPC  ?

  • G01R 29/08 - Mesure des caractéristiques du champ électromagnétique

76.

LOAD LOCK CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Numéro d'application 17961214
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abrégé

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/52 - Commande ou régulation du processus de dépôt

77.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application 17962310
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 14/02 - Pré-traitement du matériau à revêtir
  • C23C 14/46 - Pulvérisation cathodique par un faisceau d'ions produit par une source d'ions externe
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

78.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Numéro d'application 17962378
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abrégé

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

79.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Numéro d'application 17962410
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abrégé

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

80.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Numéro d'application 17963146
Statut En instance
Date de dépôt 2022-10-10
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abrégé

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/40 - Adaptation automatique de l'impédance de charge à l'impédance de la source

81.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Numéro d'application 17963687
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abrégé

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

82.

METHODS AND APPARATUS FOR RUTHENIUM OXIDE REDUCTION ON EXTREME ULTRAVIOLET PHOTOMASKS

      
Numéro d'application 18276760
Statut En instance
Date de dépôt 2022-02-08
Date de la première publication 2024-04-11
Propriétaire APPLIED MATERALS, INC. (USA)
Inventeur(s)
  • Wu, Banqiu
  • Makhamreh, Khalid
  • Dagan, Eliyahu Shlomo

Abrégé

Methods and apparatus for reducing ruthenium oxide on an extreme ultraviolet (EUV) photomask leverage temperature, plasma, and chamber pressure to increase the reduction. In some embodiments, a method includes heating the EUV photomask with a ruthenium (Ru) capping layer with a top surface which has a Ru oxide layer to a temperature of approximately 100 degrees Celsius to approximately a thermal budget of the EUV photomask, flowing a reducing agent gas into an EUV photomask processing chamber, and pressurizing the EUV photomask processing chamber to a process pressure to increase a reducing reaction between the reducing agent gas and a Ru oxide layer on the Ru capping layer. Other embodiments may incorporate remote plasma generators or atmospheric-pressure plasma generators to enhance the reduction of Ru oxides on the Ru capping layer.

Classes IPC  ?

  • G03F 1/24 - Masques en réflexion; Leur préparation
  • G03F 1/74 - Réparation ou correction des défauts dans un masque par un faisceau de particules chargées [CPB charged particle beam], p.ex. réparation ou correction de défauts par un faisceau d'ions focalisé

83.

EVAPORATION APPARATUS, VAPOR DEPOSITION APPARATUS, AND EVAPORATION METHOD

      
Numéro d'application 18542356
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Buschbeck, Wolfgang
  • Bangert, Stefan

Abrégé

An evaporation apparatus is described, particularly for evaporating a reactive material such as lithium. The evaporation apparatus includes an evaporation crucible for evaporating a liquid material, a material conduit for supplying the liquid material to the evaporation crucible, and a valve configured to close the material conduit by solidifying a part of the liquid material in the material conduit with a cooling device. The valve may include a cooling gas supply for a cooling gas, and the cooling device may be configured to cool the liquid material with the cooling gas. Further described are a vapor deposition apparatus for coating a substrate as well as an evaporation method.

Classes IPC  ?

  • C23C 14/24 - Evaporation sous vide
  • C23C 14/14 - Matériau métallique, bore ou silicium
  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche

84.

HIGH RESOLUTION ADVANCED OLED SUB-PIXEL CIRCUIT AND PATTERNING METHOD

      
Numéro d'application 18545709
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lee, Jungmin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abrégé

Embodiments described herein relate to a sub-pixel. The sub-pixel includes an anode, overhang structures, separation structures, an organic light emitting diode (OLED) material, and a cathode. The anode is defined by adjacent first pixel isolation structures (PIS) and adjacent second PIS. The overhang structures are disposed on the first PIS. The overhang structures include a second structure disposed over the first structure and an intermediate structure disposed between the second structure and the first structure. A bottom surface of the second structure extends laterally past an upper surface of the first structure. The first structure is disposed over the first PIS. Separation structures are disposed over the second PIS. The OLED material is disposed over the anode and an upper surface of the separation structures. The cathode disposed over the OLED material and an upper surface of the separation structures.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure

85.

HIGH-K DIELECTRIC MATERIALS COMPRISING ZIRCONIUM OXIDE UTILIZED IN DISPLAY DEVICES

      
Numéro d'application 18545810
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Rui, Xiangxin
  • Zhao, Lai
  • Chen, Jrjyan Jerry
  • Choi, Soo Young
  • Zhai, Yujia

Abrégé

Embodiments of the disclosure generally provide methods of forming a capacitor layer or a gate insulating layer with high dielectric constant as well as low film current leakage and desired film qualities for display applications. In one embodiment, a thin film transistor structure includes a dielectric layer formed on a substrate, wherein the dielectric layer is a zirconium containing material comprising aluminum, and gate, source and drain electrodes formed on the substrate, wherein the gate, source and drain electrodes formed above or below the dielectric layer.

Classes IPC  ?

  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 29/24 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des matériaux semi-conducteurs inorganiques non couverts par les groupes , ,  ou
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/786 - Transistors à couche mince

86.

MASK FOR A SUBSTRATE, SUBSTRATE SUPPORT, SUBSTRATE PROCESSING APPARATUS, METHOD FOR LAYER DEPOSITION ON A SUBSTRATE AND METHOD OF MANUFACTURING ONE OR MORE DEVICES

      
Numéro d'application EP2022077692
Numéro de publication 2024/074202
Statut Délivré - en vigueur
Date de dépôt 2022-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Jagadish, Avinash
  • Lau, Simon
  • Klein, Wolfgang
  • Hanika, Markus

Abrégé

A mask (100) for masking a rear of an edge of a substrate (10) is described. The mask comprises a frame (110) having an opening (111) for receiving the substrate, wherein the frame has a protrusion (112) provided at an inner side (110A) of the frame, the protrusion (112) extending towards the rear (10R) of the edge (10E) of the substrate (10).

Classes IPC  ?

  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
  • C23C 14/50 - Porte-substrat
  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/443 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

87.

CASSETTE STRUCTURES AND RELATED METHODS FOR BATCH PROCESSING IN EPITAXIAL DEPOSITION OPERATIONS

      
Numéro d'application US2023017561
Numéro de publication 2024/076389
Statut Délivré - en vigueur
Date de dépôt 2023-04-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Shah, Kartik Bhupendra
  • Moradian, Ala

Abrégé

The present disclosure relates to cassette structures and related methods for batch processing in epitaxial deposition operations, In one implementation, a cassette configured for disposition in a substrate processing chamber includes a first wall, a second wall spaced from the first wall, and one or more sidewalls extending between and coupled to the first wall and the second wall. The cassette includes one or more inlet openings formed in the one or more sidewalls, and one or more outlet openings formed in the one or more sidewalls opposite the one or more inlet openings. The cassette includes a plurality of levels that include a plurality of substrate supports mounted to the one or more sidewalls and spaced from each other along the one or more sidewalls.

Classes IPC  ?

  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

88.

MEMBRANE FAILURE DETECTION SYSTEM

      
Numéro d'application US2023030872
Numéro de publication 2024/076421
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhang, Chang
  • Chen, Jian J.
  • Truong, Quoc
  • Leighton, Jamie Stuart

Abrégé

A polishing system includes a pressure system, a substrate carrier including a membrane, a first sensor, and a control system. A first compartment of the membrane is fluidly coupled to the pressure system. The first sensor is configured to monitor the pressure system and produce a first output based on conditions detected in the pressure system. The control system coupled to the first sensor and configured to process the first output to produce a first processed output, and the control system configured to compare the first processed output to a threshold to detect a presence of a fluid in the pressure system.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques
  • B24B 41/06 - Supports de pièces, p.ex. lunettes réglables

89.

METHODS FOR FABRICATION OF OPTICAL STRUCTURES ON PHOTONIC GLASS LAYER SUBSTRATES

      
Numéro d'application US2023033113
Numéro de publication 2024/076463
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein also relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto- electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co-packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

90.

A PHOTONIC GLASS LAYER SUBSTRATE WITH EMBEDDED OPTICAL STRUCTURES FOR COMMUNICATING WITH AN ELECTRO OPTICAL INTEGRATED CIRCUIT

      
Numéro d'application US2023033205
Numéro de publication 2024/076466
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto-electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co- packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

91.

LAMP AND WINDOW CONFIGURATIONS FOR SUBSTRATE PROCESSING CHAMBERS

      
Numéro d'application US2023034008
Numéro de publication 2024/076493
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Subbaraman, Venkateswaran
  • Dhamodharan, Raja Murali

Abrégé

The present disclosure relates to heat sources (e.g., lamps) and windows for processing chambers, and related methods. In one or more embodiments, a lamp applicable for use in semiconductor manufacturing includes a bulb tube extending along at least a segment of an arcuate profile. The bulb tube defines an arcuate central opening, The lamp includes a filament positioned in the arcuate central opening, The filament extends along at least the segment of the arcuate profile. The lamp includes a reflective coating formed on a first portion of an outer face of the bulb tube.

Classes IPC  ?

  • H05B 3/00 - Chauffage par résistance ohmique
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

92.

WEB COATING METHOD AND VENTED COOLING DRUM WITH INTEGRAL ELECTROSTATIC CLAMPING

      
Numéro d'application US2023034353
Numéro de publication 2024/076562
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Parkhe, Vijay D.
  • Sivaramakrishnan, Visweswaren
  • Ishikawa, David Masayuki
  • Deppisch, Thomas

Abrégé

A rotatable drum is provided for supporting a substrate. The rotatable drum includes a curved drum surface for supporting the substrate. The curved drum surface includes a dielectric portion and an electrode coupled to a power source. The electrode is electrically coupled to the curved drum surface and capable of chucking and dechucking the substrate from the curved drum surface at one or more circumferential segments of the curved drum surface.

Classes IPC  ?

  • H01M 4/04 - Procédés de fabrication en général
  • H01M 4/134 - PROCÉDÉS OU MOYENS POUR LA CONVERSION DIRECTE DE L'ÉNERGIE CHIMIQUE EN ÉNERGIE ÉLECTRIQUE, p.ex. BATTERIES Électrodes Électrodes composées d'un ou comprenant un matériau actif Électrodes pour accumulateurs à électrolyte non aqueux, p.ex. pour accumulateurs au lithium; Leurs procédés de fabrication Électrodes à base de métaux, de Si ou d'alliages

93.

METHODS FOR CLEAN RATE IMPROVEMENT IN MULTI-RPSC PECVD SYSTEMS

      
Numéro d'application US2023034527
Numéro de publication 2024/076665
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pan, Yan Chi
  • Chen, Jrjyan Jerry
  • Yang, Lynn
  • Chang, Max
  • Furuta, Gaku
  • Chen, Vanness
  • Lau, Allen K.

Abrégé

Embodiments of the present disclosure generally relate to a method of cleaning a chemical vapor deposition chamber. The method includes commencing flow of a cleaning gas to a center remote plasma source (RPS) reactor in a processing chamber. The method includes commencing flow of the cleaning gas to four corner RPS reactors in the processing chamber. The method also includes flowing cleaning gas to the center RPS reactor and the four corner RPS reactors. The method further includes stopping flow of the cleaning gas to the center RPS reactor and stopping flow of the cleaning gas to the four corner RPS reactors.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

94.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Numéro d'application US2023034587
Numéro de publication 2024/076702
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abrégé

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

95.

JAVELIN

      
Numéro de série 98489034
Statut En instance
Date de dépôt 2024-04-08
Propriétaire Applied Materials, Inc. ()
Classes de Nice  ? 07 - Machines et machines-outils

Produits et services

Semiconductor wafer processing equipment used for implanting different materials into wafers

96.

WIRELESS DATA COMMUNICATION IN PLASMA PROCESS CHAMBER THROUGH VI SENSOR AND RF GENERATOR

      
Numéro d'application 18220020
Statut En instance
Date de dépôt 2023-07-10
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Lin, Chuang-Chia

Abrégé

Embodiments disclosed herein include a diagnostic substrate. In an embodiment, the diagnostic substrate comprises a substrate and a sensor on the substrate. In an embodiment, the diagnostic substrate further comprises a communication module on the substrate that is communicatively coupled to the sensor. In an embodiment, the communication module comprises an output antenna, a switch coupled to the output antenna, and a signal source coupled to the switch.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

97.

FLUID CONDUIT AND FLANGE FOR HIGH BIAS APPLICATIONS

      
Numéro d'application 18371641
Statut En instance
Date de dépôt 2023-09-22
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen D.
  • Schmid, Andreas

Abrégé

A method and apparatus for cooling a semiconductor chamber are described herein. A semiconductor chamber component, includes a powered region, a grounded region, and a fluid conduit disposed within the semiconductor chamber component and passing through the powered region and grounded region, the fluid conduit comprising a ceramic material.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

98.

Selective Deposition of Thin Films with Improved Stability

      
Numéro d'application 18372792
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xiong, Lulu
  • Hsiao, Kevin
  • Liu, Chris
  • Lo, Chieh-Wen
  • Seutter, Sean M.
  • Padhi, Deenesh
  • Lianto, Prayudi
  • Suo, Peng
  • See, Guan Huei
  • Wang, Zongbin
  • Zeng, Shengwei
  • Ramasamy, Balamurugan

Abrégé

A method of processing a substrate is disclosed which includes depositing a layer in a processing chamber on a field region, a sidewall region, and a fill region of a feature of the substrate, wherein a hardness of a portion of the layer deposited on the sidewall region is lower than a hardness of a portion of the layer deposited on the field region, and lower than a hardness of a portion of the layer deposited on the fill region.

Classes IPC  ?

  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/32 - Carbures
  • C23C 16/56 - Post-traitement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

99.

LARGE DIAMETER POROUS PLUG FOR ARGON DELIVERY

      
Numéro d'application 18372811
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gnanaprakasa, Tony Jefferson
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen Donald

Abrégé

The disclosure relates to a substrate support assembly for reducing the evacuation time when using argon gas. In one embodiment, a substrate support assembly includes a porous plug within the substrate support assembly. The porous plug includes a first cylindrical section with a first volume and axial length, a second cylindrical section with a second volume and axial length. The first cylindrical section has a larger volume than the second cylindrical section. The first cylindrical section and second cylindrical section have a volume ratio between about 2 and about 12. The first cylindrical section axial length and second cylindrical section axial length have a length ratio between about 2 and about 10.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

100.

HIGH RESOLUTION ADVANCED OLED SUB-PIXEL CIRCUIT AND PATTERNING METHOD

      
Numéro d'application 18528888
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lee, Jungmin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abrégé

Embodiments described herein relate to a sub-pixel. The sub-pixel includes an anode, overhang structures, separation structures, an organic light emitting diode (OLED) material, and a cathode. The anode is defined by adjacent first pixel isolation structures (PIS) and adjacent second PIS. The overhang structures are disposed on the first PIS. The overhang structures include a second structure disposed over the first structure and an intermediate structure disposed between the second structure and the first structure. A bottom surface of the second structure extends laterally past an upper surface of the first structure. The first structure is disposed over the first PIS. Separation structures are disposed over the second PIS. The OLED material is disposed over the anode and an upper surface of the separation structures. The cathode disposed over the OLED material and an upper surface of the separation structures.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure
  1     2     3     ...     100        Prochaine page