KLA Corporation

United States of America

Back to Profile

1-100 of 459 for KLA Corporation Sort by
Query
Patent
World - WIPO
Aggregations Reset Report
Date
New (last 4 weeks) 14
2024 April (MTD) 12
2024 March 6
2024 February 9
2024 January 2
See more
IPC Class
H01L 21/66 - Testing or measuring during manufacture or treatment 174
G01N 21/88 - Investigating the presence of flaws, defects or contamination 134
G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined 131
G03F 7/20 - Exposure; Apparatus therefor 81
G06T 7/00 - Image analysis 77
See more
Found results for  patents
  1     2     3     ...     5        Next Page

1.

NON-REAGENT CHLORIDE ANALYSIS IN ACID COPPER PLATING BATHS

      
Application Number US2023035066
Publication Number 2024/086059
Status In Force
Filing Date 2023-10-13
Publication Date 2024-04-25
Owner KLA CORPORATION (USA)
Inventor
  • Wang, Jingjing
  • Saitta, Patrick
  • Shalyt, Eugene

Abstract

The disclosed subject matter relates to techniques for methods and systems for non-reagent chloride analysis in an acid copper plating bath, using a blend of VMS (Virgin Makeup Solution) to generate Ag+-containing solution as a titration into a sample.

IPC Classes  ?

2.

PLASMA HYPERMODEL INTEGRATED WITH FEATURE-SCALE PROFILE MODEL FOR ACCELERATED ETCH PROCESS DEVELOPMENT

      
Application Number US2023034713
Publication Number 2024/081176
Status In Force
Filing Date 2023-10-09
Publication Date 2024-04-18
Owner KLA CORPORATION (USA)
Inventor
  • Huard, Chad
  • Panneerchelvam, Premkumar
  • Huang, Shuo
  • Smith, Mark D.

Abstract

Plasma parameters at a surface of a wafer are determined with a plasma hypermodel based on plasma processing conditions. A post-processing profile can be predicted for the surface of the wafer with a feature-scale profile model. Correlations in the plasma hypermodel can be recalibrated if the post-processing profile is outside a convergence criterion of an experimental reference.

IPC Classes  ?

3.

CORRECTING TARGET LOCATIONS FOR TEMPERATURE IN SEMICONDUCTOR APPLICATIONS

      
Application Number US2023034061
Publication Number 2024/076495
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor
  • Moon, Min-Yeong
  • Rachinayani, Phalguna
  • Perrin, Jean-Christophe
  • Pandev, Stilian Ivanov

Abstract

Methods and systems for determining information for a specimen are provided. One system includes an output acquisition subsystem configured to generate output for a specimen at one or more target locations on the specimen and one or more temperature sensors configured to measure one or more temperatures within the system. The system also includes a deep learning model configured for predicting error in at least one of the one or more target locations based on at least one of the one or more measured temperatures input to the deep learning model by the computer subsystem. The computer subsystem is configured for determining a corrected target location for the at least one of the one or more target locations by applying the predicted error to the at least one of the one or more target locations.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06N 3/08 - Learning methods

4.

MAGNETICALLY OPPOSED, IRON CORE LINEAR MOTOR BASED MOTION STAGES FOR SEMICONDUCTOR WAFER POSITIONING

      
Application Number US2023034413
Publication Number 2024/076599
Status In Force
Filing Date 2023-10-04
Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor
  • Pharand, Michel
  • Doll, Stephen
  • Nayfeh, Samir

Abstract

Methods and systems for realizing a high throughput wafer positioning system with high positioning accuracy are presented herein. The high throughput, high accuracy wafer positioning system is employed to measure structural and material characteristics (e.g., material composition, dimensional characteristics of structures and films, etc.) associated with different semiconductor fabrication processes. In one aspect, iron core linear motor assemblies are arranged in a magnetically opposed configuration such that the magnetic attraction forces inherent to each opposing iron core linear motor assembly largely cancel one another. The reduced force applied to sensitive stage frame elements, in turn, reduces induced deformations and stage positioning errors. In some embodiments, a wafer positioning system includes stacked magnetically opposed long stroke stages. In some of these embodiments, both magnetically opposed long stroke stages employ magnet tracks mechanically coupled to the intermediate frame of the stacked stage assembly.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H01L 21/66 - Testing or measuring during manufacture or treatment

5.

SYSTEMS AND METHODS FOR GENERATING A FLAT-TOP ILLUMINATION BEAM BASED ON INTERLACING, INCOHERENTLY OVERLAPPING SPOTS

      
Application Number US2023034496
Publication Number 2024/076653
Status In Force
Filing Date 2023-10-05
Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor Lee, Chun Shen

Abstract

A flat-top beam generating system may include a beamsplitting apparatus including one or more beamsplitters to split an input beam into three or more sub-beams that propagate along optical paths with different optical path lengths. The system may further include a diffractive optical element (DOE) to diffract the three or more sub-beams into a plurality of diffracted sub-beams. The system may further include one or more optical elements configured to collect the plurality of diffracted sub-beams to provide a flat-top beam.

IPC Classes  ?

  • H01S 3/10 - Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
  • H01S 3/08 - Construction or shape of optical resonators or components thereof
  • G02B 27/09 - Beam shaping, e.g. changing the cross-sectioned area, not otherwise provided for

6.

DISTORTION REDUCTION IN A MULTI-BEAM IMAGING SYSTEM

      
Application Number US2023032812
Publication Number 2024/072642
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Cook, Michael
  • Shriyan, Sameet K.
  • Dowling, David

Abstract

A system may include a controller couplable to an imaging sub-system utilizing multiple particle beams, where the imaging sub-system includes one or more control elements to manipulate the two or more particle beams that are adjustable with two or more control parameters. The controller may select values of the two or more control parameters by iteratively performing steps until one or more termination conditions are met. For example, the controller may receive measurements of changes of distortions of the particle beams resulting from individual adjustments of the control parameters. The controller may further calculate values of the control parameters that reduce the distortions of the particle beams based on the changes of the distortions resulting from the individual adjustments. The controller may further direct the adjustment of the values of the control parameters to the calculated values.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]

7.

CALIBRATION OF PARAMETRIC MEASUREMENT MODELS BASED ON IN-LINE WAFER MEASUREMENT DATA

      
Application Number US2023033132
Publication Number 2024/072660
Status In Force
Filing Date 2023-09-19
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Lin, Brian C.
  • Wu, David
  • Wu, Song
  • Zhan, Tianrong
  • Chiu, Emily
  • Lagodzinski, Andrew

Abstract

Methods and systems for calibrating simulated measurement signals generated by a parametric measurement model are described herein. Regression on real measurement signals is performed using a parametric model. The residual fitting error between the real measurement signals and simulated measurement signals generated by the parametric model characterizes the error of the parametric model at each set of estimated values of the one or more floating parameters. Simulated measurement signals are generated by the parametric model at specified values of the floating parameters. A residual fitting error associated with the simulated measurement signals generated at the specified values of the floating parameters is derived from the residual fitting errors calculated by the regression on the real measurement signals. The simulated measurement signals are calibrated by adding the residual fitting error to the uncalibrated, simulated measurement signals. The calibrated, simulated measurement signals improve the accuracy of measurements and measurement recipe development.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/25 - Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • H01L 21/66 - Testing or measuring during manufacture or treatment

8.

FRICTIONLESS DESIGN OF HIGH-PRESSURE RECIRCULATION THERMO-PUMP

      
Application Number US2023033318
Publication Number 2024/072684
Status In Force
Filing Date 2023-09-21
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor Shchemelinin, Anatoly

Abstract

A thermo-pump includes a sealed casing, divided into a main casing volume and one or more secondary volumes. A thermo-pump includes a shaft. A thermo-pump includes a displacer, coupled to the shaft and oscillates to create a pressure gain between a high-pressure phase and a low-pressure phase. A thermo-pump includes one or more displacer rings, wherein the displacer rings are made from a material with thermal properties below a threshold. A thermo-pump includes an insert, wherein the insert is configured to form a perimeter of the main casing volume, wherein the insert is made from a material with thermal properties below the threshold. A thermo-pump includes one or more bushings, wherein the one or more bushing separate the main casing volume and the one or more secondary volumes. A thermo-pump includes one or more gas bearings configured to prevent contact between the shaft and the sealed casing.

IPC Classes  ?

  • F25B 9/14 - Compression machines, plants or systems, in which the refrigerant is air or other gas of low boiling point characterised by the cycle used, e.g. Stirling cycle
  • H01J 61/52 - Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space
  • H01J 61/28 - Means for producing, introducing, or replenishing gas or vapour during operation of the lamp
  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating

9.

PULSE-ASSISTED LASER-SUSTAINED PLASMA IN FLOWING HIGH-PRESSSURE LIQUIDS

      
Application Number US2023033670
Publication Number 2024/072774
Status In Force
Filing Date 2023-09-26
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Bezel, Ilya
  • Khodykin, Oleg
  • Szilagyi, John

Abstract

A pulse-assisted LSP broadband light source in flowing high-pressure liquid or supercritical fluid is disclosed. The light source includes a fluid containment structure for containing a high-pressure liquid or supercritical fluid. The light source includes a primary laser pump source and a high-repetition pulse-assisting laser light source. wherein the primary laser pump source is configured to direct a primary pump beam into a plasma-forming region of the fluid. The primary beam and the pulsed-assisting beam are configured to sustain a plasma within the plasma-forming region of the fluid within the fluid containment structure. A light collector element is configured to collect broadband light emitted from the plasma for use in downstream applications.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

10.

MOSAIC OVERLAY TARGETS

      
Application Number US2023033679
Publication Number 2024/072779
Status In Force
Filing Date 2023-09-26
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor Feler, Yoel

Abstract

A mosaic overlay target may include two or more cell sets distributed across a sample, wherein each cell set includes one or more cells, where each cell set is oriented to have at least one of mirror symmetry with respect to a central axis of the mosaic overlay target or rotational symmetry with respect to a central point of the mosaic overlay target. The cell sets may be configured according to a metrology recipe such that images of the mosaic overlay target generated based on the metrology recipe include metrology data suitable for two or more overlay measurements. A particular one of the overlay measurements may be based on portions of the images associated with at least one of the cell sets. At least two of the two or more overlay measurements may be alternative measurements of a common property of the sample.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

11.

INSPECTION OF ADAPTIVE PATTERNED WORKPIECES WITH DYNAMIC DESIGN AND DEEP LEARNING-BASED RENDERING

      
Application Number US2023034060
Publication Number 2024/073015
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Perali, Pavan Kumar
  • Muthukrishnan, Sankar
  • Bhatt, Hemang
  • Sahadevareddy, Adithya Swaroop

Abstract

A reference optical image of a die is determined based on a design file with a deep convolutional neural network for image-to-image translation. The reference optical image is subtracted from the target image thereby generating a difference image. After applying a care area mask, the difference image can be binarized. The resulting binarized defective image can be used for optical inspection.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G06T 7/00 - Image analysis
  • G06N 3/0464 - Convolutional networks [CNN, ConvNet]
  • G06N 3/08 - Learning methods
  • H01L 21/66 - Testing or measuring during manufacture or treatment

12.

IMAGE PRE-PROCESSING FOR OVERLAY METROLOGY USING DECOMPOSITION TECHNIQUES

      
Application Number US2023033656
Publication Number 2024/072766
Status In Force
Filing Date 2023-09-26
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir

Abstract

A system may include a controller for receiving one or more images of a metrology target including periodic features with one or more known pitches, pre-processing the one or more images using a decomposition technique to generate one or more pre-processed images, and generating one or more metrology measurements for the metrology target based on the one or more pre-processed images. Pre-processing a particular image of the one or more images may include constructing one or more trajectory matrices from the particular image, generating reconstruction components associated with the particular image from the one or more trajectory matrices using the decomposition technique, and generating a particular one of the one or more pre-processed images by based on a subset of the reconstruction components including signals with at least one of the one or more known pitches.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

13.

WATER COOLED, AIR BEARING BASED ROTATING ANODE X-RAY ILLUMINATION SOURCE

      
Application Number US2023032449
Publication Number 2024/063976
Status In Force
Filing Date 2023-09-12
Publication Date 2024-03-28
Owner KLA CORPORATION (USA)
Inventor Pharand, Michel

Abstract

Methods and systems for realizing a high speed, rotating anode based x-ray illumination source suitable for high throughput x-ray metrology are presented herein. A high speed rotating anode includes a water cooled rotating platen supported by radial and thrust air bearings employing cascaded differential pumping. A very high bending stiffness of the rotating assembly is achieved by spacing radial air bearings far apart and locating a rotary motor and thrust bearings between the radial air bearings. The high bending stiffness increases the mechanical stability of the rotating assembly during high speed operation, and thus decreases vibration at the location of impingement of the electron beam on the rotating anode material. In some embodiments, magnetic thrust bearings are employed and the air gap is controlled to maintain a desired gap over an operational range of up to three millimeters.

IPC Classes  ?

  • H01J 35/10 - Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes

14.

HIGH-RESOLUTION EVALUATION OF OPTICAL METROLOGY TARGETS FOR PROCESS CONTROL

      
Application Number US2023032451
Publication Number 2024/063977
Status In Force
Filing Date 2023-09-12
Publication Date 2024-03-28
Owner KLA CORPORATION (USA)
Inventor
  • Gutman, Nadav
  • Klein, Dana
  • Czerkas, Slawomir
  • Simon, Yossi
  • Laske, Frank
  • Wittkoetter, Mirko

Abstract

A metrology system may include an optical metrology sub-system to generate optical metrology measurements of optical metrology based on features of the optical metrology targets associated with at least one optical pitch and an additional metrology sub-system to generate additional metrology measurements of the optical metrology targets, where the additional metrology measurements have a higher resolution than the optical metrology measurements, and where the additional metrology sub-system further measures deviations of the optical metrology targets from a reference design. The system may further include a controller to generate accuracy measurements for the optical metrology targets based on the measurements, identify variations of a lithography process based on the deviations, correlate the accuracy measurements to the variations, and adjust at least one of the optical metrology sub-system, a lithography tool, or the reference design based on the correlations.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G03F 7/20 - Exposure; Apparatus therefor

15.

CONFOCAL CHROMATIC METROLOGY FOR EUV SOURCE CONDITION MONITORING

      
Application Number US2023031802
Publication Number 2024/058948
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Tae, Patrick
  • Su, Caijun
  • Jagannath, Ravichandra
  • Ahr, Brian

Abstract

A light source includes a rotatable drum to be coated with xenon ice and illuminated by a laser beam to produce a plasma. The drum may also be translatable. The light source further includes a confocal chromatic sensor to measure distances from the confocal chromatic sensor to the rotatable drum. The confocal chromatic sensor may include a sensor head to focus light onto the rotatable drum and to detect reflected light from the rotatable drum. The sensor head and the rotatable drum may be disposed within a vacuum chamber.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

16.

SYSTEM AND METHOD FOR ACQUIRING ALIGNMENT MEASUREMENTS OF STRUCTURES OF A BONDED SAMPLE

      
Application Number US2023032022
Publication Number 2024/058954
Status In Force
Filing Date 2023-09-06
Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Shuall, Nimrod
  • Pio, Jordan
  • Laske, Frank
  • Eyring, Stefan
  • Bachar, Ohad

Abstract

Systems and methods for acquiring measurements of structures of a bonded sample are disclosed. Such systems and methods may include determining a first registration measurement of a first registration structure and a first interface target structure of a first sample, and a second registration measurement of a second sample prior to coupling the samples together. Such systems and methods may include, after such a coupling of the samples, determining a third registration measurement of the coupled sample at least partially by measuring the first registration structure through the top face of the first sample. Such systems and methods may include acquiring an overlay measurement based on the first registration measurement, the second registration measurement, and the third registration measurement. Such systems and methods may include adjusting an inter-sample coupling recipe based on the overlay measurement, where the inter-sample coupling recipe may include a final bonding recipe.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

17.

AUTO-FOCUS SENSOR IMPLEMENTATION FOR MULTI-COLUMN MICROSCOPES

      
Application Number US2023031799
Publication Number 2024/054405
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-14
Owner KLA CORPORATION (USA)
Inventor
  • Petrone, Nicholas
  • Muray, Lawrence
  • Brodie, Alan

Abstract

An array of localized auto-focus sensors provides direct measurement of the working distance between each microscope column in the array and the substrate being imaged below. The auto-focus sensors measure the working distance between each column and the imaging substrate as it passes over a point on the substrate to be imaged. The working distance measurement from the sensors is input into a control system, which in turn outputs the required working distance adjustment to the microscope column. The control system independently adjusts microscope working distance and/or physical distance of an individual microscope column in a multi-column microscope based on auto-focus sensor input. The individual microscope columns in the multi-column microscope can also be used as the auto-focus sensor itself.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

18.

METHODS AND SYSTEMS FOR MODEL-LESS, SCATTEROMETRY BASED MEASUREMENTS OF SEMICONDUCTOR STRUCTURES

      
Application Number US2023031580
Publication Number 2024/054388
Status In Force
Filing Date 2023-08-31
Publication Date 2024-03-14
Owner KLA CORPORATION (USA)
Inventor
  • Hench, John
  • Krishna, Akshay
  • Liman, Christopher
  • Smith, Jeremy
  • Yin, Liang
  • Park, Hyowon
  • Wang, Tianhan
  • Chen, Boxue

Abstract

Methods and systems for performing model-less measurements of semiconductor structures based on scatterometry measurement data are described herein. Scatterometry measurement data is processed directly, without the use of a traditional measurement model. Measurement sensitivity is defined by the changes in detected diffraction images at one or more non-zero diffraction orders over at least two different illumination incidence angles. Discrete values of a scalar function are determined directly from measured images at each incidence angle. A continuous mathematical function is fit to the set of discrete values of the scalar function determined at each incidence angle. A value of a parameter of interest is determined based on analysis of the mathematical function. In some embodiments, the scalar function includes a weighting function, and the weighting values associated with weighting function are optimized to yield an accurate fit of the mathematical function to the scalar values.

IPC Classes  ?

  • G01N 23/20 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by using reflection of the radiation by the materials
  • H01L 21/66 - Testing or measuring during manufacture or treatment

19.

DEEP LEARNING MODEL-BASED ALIGNMENT FOR SEMICONDUCTOR APPLICATIONS

      
Application Number US2023029915
Publication Number 2024/044046
Status In Force
Filing Date 2023-08-10
Publication Date 2024-02-29
Owner KLA CORPORATION (USA)
Inventor
  • Chen, Hong
  • Fan, Ziqi
  • Wallingford, Richard
  • Li, Xiaochun
  • Park, Sangbong

Abstract

Methods and systems for deep learning alignment for semiconductor applications are provided. One method includes transforming design information for an alignment target on a specimen to a predicted image of the alignment target by inputting the design information into a deep learning model and aligning the predicted image to an image of the alignment target on the specimen generated by an imaging subsystem. The method also includes determining an offset between the predicted image and the image generated by the imaging subsystem based on results of the aligning and storing the determined offset as an align-to-design offset for use in a process performed on the specimen with the imaging subsystem.

IPC Classes  ?

20.

NOISE DIAGNOSTICS FOR AN ELECTRON BEAM INSPECTION SYSTEM WITH SWATHING

      
Application Number US2023030755
Publication Number 2024/044146
Status In Force
Filing Date 2023-08-22
Publication Date 2024-02-29
Owner KLA CORPORATION (USA)
Inventor
  • Xiong, Bo
  • Yang, Hedong
  • Wang, Kay

Abstract

Parameters from an inspection image representing mechanical vibrations and electromagnetic interference can be determined. An X-direction vibration spectrum can be determined based on the X-direction offsets. A Y-direction vibration spectrum can be determined based on the Y-direction offsets. The determinations can be based on a swath image of a workpiece, such as a semiconductor wafer or reticle.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

21.

MULTI-PITCH GRID OVERLAY TARGET FOR SCANNING OVERLAY METROLOGY

      
Application Number US2023030648
Publication Number 2024/044112
Status In Force
Filing Date 2023-08-20
Publication Date 2024-02-29
Owner KLA CORPORATION (USA)
Inventor
  • Lubashevsky, Yuval
  • Gdor, Itay
  • Negri, Daria
  • Hajaj, Eitan

Abstract

An overlay metrology system with pitches in multiple directions in a single cell is disclosed. The overlay target may, according to a metrology recipe, include a multi-layer structure on two or more layers of a cell of the sample. The multi-layer structure may include structures in each layer having one or more pitches in one or more directions of periodicity. The multi-layer structure may include structures with a first pitch in a first direction, a second pitch in a second direction, a third pitch in the first direction, and a fourth pitch in the second direction. At least one of the first pitch or the third pitch may be different than at least one of the second pitch or the fourth pitch.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G03F 7/20 - Exposure; Apparatus therefor

22.

METHODS AND SYSTEMS FOR X-RAY SCATTEROMETRY MEASUREMENTS EMPLOYING A MACHINE LEARNING BASED ELECTROMAGNETIC RESPONSE MODEL

      
Application Number US2023018765
Publication Number 2024/039413
Status In Force
Filing Date 2023-04-17
Publication Date 2024-02-22
Owner KLA CORPORATION (USA)
Inventor
  • Mahvash, Mohsen
  • Hench, John J.
  • Jafarzanjani, Samad
  • Shen, Rebecca
  • Liman, Christopher D.
  • Chen, Boxue

Abstract

Methods and systems for estimating values of parameters of interest from X-ray scatterometry measurements with reduced computational effort are described herein. Values of parameters of interest are estimated by regression using a trained, machine learning (ML) based electromagnetic (EM) response model. A training data set includes sets of Design Of Experiments (DOE) values of parameters of interest and corresponding DOE values of a plurality of electromagnetic response metrics. In some examples, values of parameters of interest are determined from measured images based on regression using a sequence of trained ML based electromagnetic response models. In some examples, input values employed to train the ML based EM response model are scaled based on model output variation.

IPC Classes  ?

  • G01N 23/201 - Measuring small-angle scattering, e.g. small angle X-ray scattering [SAXS]
  • G06N 20/00 - Machine learning
  • H01L 21/66 - Testing or measuring during manufacture or treatment

23.

LITHOGRAPHY MASK REPAIR BY SIMULATION OF PHOTORESIST THICKNESS EVOLUTION

      
Application Number US2023030026
Publication Number 2024/039574
Status In Force
Filing Date 2023-08-11
Publication Date 2024-02-22
Owner KLA CORPORATION (USA)
Inventor
  • Vukkadala, Pradeep
  • Parsey, Guy
  • Bai, Kunlun
  • Li, Xiaohan
  • Burov, Anatoly
  • Zhang, Cao
  • Graves, John S.
  • Biafore, John

Abstract

A system for mask design repair may develop a simulation-based model of a layer thickness after one or more process steps for fabricating features on a sample, develop a transformed model of the fabrication process that emulates the simulation-based model and has a faster evaluation speed than the simulation-based model, and where the inputs to the transformed model include the input mask design, and where the outputs of the transformed model include one or more output parameters associated with fabrication of the input mask design as well as one or more sensitivity metrics describing sensitivities of the one or more output parameters to variations of the input mask design. The system may further receive a candidate mask design and generate a repaired mask design based on the transformed model and the candidate mask design.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging

24.

SCATTEROMETRY OVERLAY METROLOGY WITH ORTHOGONAL FINE-PITCH SEGMENTATION

      
Application Number US2023028085
Publication Number 2024/035527
Status In Force
Filing Date 2023-07-19
Publication Date 2024-02-15
Owner KLA CORPORATION (USA)
Inventor
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon

Abstract

An overlay metrology target may include grating-over-grating structures formed from a lower grating structure with a first coarse pitch in a first sample layer and an upper grating structure with a second coarse pitch in a second sample layer, where the upper and lower grating structures overlap on the sample. At least one of the upper grating structure or the lower grating structure may include features with a fine pitch smaller than a wavelength of an illumination beam and arranged to rotate first-order diffraction of the illumination beam associated with at least one of the first or second coarse pitches with respect to at least one of specular reflection from a top surface of the sample or zero-order diffraction from the one or more grating structures. Overlay between the first and second layers of the sample is determinable from an image of the grating structures based on the first-order diffraction.

IPC Classes  ?

  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G03F 7/20 - Exposure; Apparatus therefor

25.

DETECTING DEFECTS ON SPECIMENS

      
Application Number US2023026272
Publication Number 2024/035493
Status In Force
Filing Date 2023-06-27
Publication Date 2024-02-15
Owner KLA CORPORATION (USA)
Inventor
  • Yu, Li
  • Si, Wilson Wei
  • Verma, Prashant
  • Li, Xiaochun
  • Park, Sean Sangbong

Abstract

Methods and systems for detecting defects on a specimen are provided. One system performs double detection in which at least one of the reference images compared to a test image is a computed reference image generated from multiple images corresponding to the test image. The other reference image may or may not be computed from more than one of the multiple images. Such a computed reference image may also be a median-based computed reference generated from multiple-median images generated from different subsets of images in a job of images generated by an inspection subsystem for a specimen. Such a system may also group images for a die row on a specimen into different jobs based on color so that different jobs have different color value ranges. Such grouping may also be performed so that each of the jobs includes a number of images greater than a predetermined, minimum job size.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/90 - Determination of colour characteristics
  • G06T 7/70 - Determining position or orientation of objects or cameras
  • G06T 7/174 - Segmentation; Edge detection involving the use of two or more images
  • H01L 21/66 - Testing or measuring during manufacture or treatment

26.

METHODS AND SYSTEMS FOR SYSTEMATIC ERROR COMPENSATION ACROSS A FLEET OF METROLOGY SYSTEMS BASED ON A TRAINED ERROR EVALUATION MODEL

      
Application Number US2023029591
Publication Number 2024/035619
Status In Force
Filing Date 2023-08-07
Publication Date 2024-02-15
Owner KLA CORPORATION (USA)
Inventor
  • Di, Ming
  • Chang, Yih-Chung
  • Chen, Xi
  • Hu, Dawei
  • Xu, Ce
  • Huang, Bowei
  • Baskin, Igor
  • Neil, Mark Allen
  • Zhang, Tianhao
  • Sadiq, Malik Karman
  • Krishnan, Shankar
  • Tsai, Jenching
  • Ygartua, Carlos L.
  • Tsao, Yao-Chung
  • Zhao, Qiang

Abstract

Methods and systems for compensating systematic errors across a fleet of metrology systems based on a trained error evaluation model to improve matching of measurement results across the fleet are described herein. In one aspect, the error evaluation model is a machine learning based model trained based on a set of composite measurement matching signals. Composite measurement matching signals are generated based on measurement signals generated by each target measurement system and corresponding model-based measurement signals associated with each target measurement system and reference measurement system. The training data set also includes an indication of whether each target system is operating within specification, an indication of the values of system model parameter of each target system, or both. In some embodiments, the composite measurement matching signals driving the training of the error evaluation model are weighted differently, for example, based on measurement sensitivity, measurement noise, or both.

IPC Classes  ?

  • G01N 21/21 - Polarisation-affecting properties
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06N 20/00 - Machine learning

27.

3D PROFILOMETRY WITH A LINNIK INTERFEROMETER

      
Application Number US2023028299
Publication Number 2024/030271
Status In Force
Filing Date 2023-07-21
Publication Date 2024-02-08
Owner KLA CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Grauer, Yoav
  • Eisenbach, Shlomo
  • Hiebert, Stephen
  • Safrani, Avner
  • Gronheid, Roel

Abstract

Systems and methods for generating volumetric data are disclosed. Such systems and methods may include scanning a sample at a plurality of focal planes located along a depth direction of the sample. Such systems and methods may include generating, via a detector of a metrology sub-system, a plurality of images of a volumetric field of view of the sample at the plurality of focal planes. Such systems and methods may include aggregating the plurality of images to generate volumetric data of the volumetric field of view of the sample. The metrology sub-system may include a Linnik interferometer.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 9/02 - Interferometers
  • G01B 9/04 - Measuring microscopes
  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

28.

MICRO-LENS ARRAY FOR METAL-CHANNEL PHOTOMULTIPLIER TUBE

      
Application Number US2023016781
Publication Number 2024/030161
Status In Force
Filing Date 2023-03-29
Publication Date 2024-02-08
Owner KLA CORPORATION (USA)
Inventor
  • Donders, Paul
  • Mackay, Derek

Abstract

The effective quantum efficiency of a metal-channel photomultiplier tube can be increased with an optical system. The optical system can direct incident light from areas of low efficiency on the cathode of the metal-channel photomultiplier tube instead to areas of high efficiency on the cathode. These high-efficiency areas of the cathode can correspond to a position between the dynode structure.

IPC Classes  ?

  • H01J 43/18 - Electrode arrangements using essentially more than one dynode

29.

PHOTOLUMINESCENCE FOR SEMICONDUCTOR YIELD RELATED APPLICATIONS

      
Application Number US2023029256
Publication Number 2024/030457
Status In Force
Filing Date 2023-08-02
Publication Date 2024-02-08
Owner KLA CORPORATION (USA)
Inventor
  • Xu, James
  • Shortt, David W.
  • Ding, Yiwu

Abstract

Methods and systems for determining information for a specimen are provided. Certain embodiments relate to detecting photoluminescence for applications such as inspection and/or metrology of electro-optically active devices or advanced packaging devices. One embodiment of a system includes an illumination subsystem configured for directing light having one or more illumination wavelengths to a specimen and a detection subsystem configured for detecting photoluminescence from the specimen. The system also includes a computer subsystem configured for determining information for the specimen from output generated by the detection subsystem responsive to the detected photoluminescence.

IPC Classes  ?

  • G01N 21/64 - Fluorescence; Phosphorescence
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

30.

METROLOGY SAMPLING PLANS FOR ONLY OUT OF SPECIFICATION DETECTION

      
Application Number US2023028519
Publication Number 2024/025849
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-01
Owner KLA CORPORATION (USA)
Inventor
  • Anis, Fatima
  • Brinster, Irina

Abstract

Methods and systems for determining information for a specimen are provided. One method includes generating a sampling plan for only out of specification detection of a characteristic of specimens in a metrology process. The method also includes generating output for the specimens by performing the metrology process on the specimens with the generated sampling plan. In addition, the method includes determining the characteristic of the specimen based on the generated output and detecting if the characteristic of one or more of the specimens is out of specification based on the determined characteristic of the specimens. The embodiments described herein are particularly suitable for overlay metrology with substantially sparse sampling plans configured for only out of specification detection of the overlay.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

31.

MINIATURE ELECTRON OPTICAL COLUMN WITH A LARGE FIELD OF VIEW

      
Application Number US2023025350
Publication Number 2024/015184
Status In Force
Filing Date 2023-06-15
Publication Date 2024-01-18
Owner KLA CORPORATION (USA)
Inventor
  • Brodie, Alan, D.
  • Muray, Lawrence, P.
  • Gerling, John

Abstract

A miniature electron optical column apparatus is disclosed. The apparatus may include a set of electron-optical elements configured to direct a primary electron beam to a sample. The set of electron-optical elements may include an objective lens. The apparatus may also include a deflection sub-system. The deflection sub-system may include one or more pre-lens deflectors positioned between an electron beam source and the objective lens. The deflection sub-system may also include a post-lens deflector positioned between the objective lens and the sample. The deflection sub-system may also include a post-lens miniature optical element positioned between the objective lens and the sample.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/10 - Lenses
  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/21 - Means for adjusting the focus
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

32.

METHOD AND SYSTEM FOR CLEANING OPTICAL ELEMENTS IN EUV OPTICAL SYSTEMS

      
Application Number US2023024634
Publication Number 2024/006037
Status In Force
Filing Date 2023-06-07
Publication Date 2024-01-04
Owner KLA CORPORATION (USA)
Inventor
  • Xie, Yun
  • Shi, Rui-Fang
  • Hill, Shannon

Abstract

A system and method for cleaning an optical element of an EUV optical system is disclosed. The system and method may include receiving design data of one or more samples. The system and method may include simulating a plurality of irradiance distributions at a plane of an EUV optical sub-system based on the design data and one or more parameters. The system and method may include aggregating the plurality of irradiance distributions to generate an aggregated irradiance distribution. The system and method may include determining a predicted contaminate distribution based on both the aggregated irradiance distribution and a contaminate growth rate. The system and method may include determining a cleaning recipe for the one or more optical elements based on the predicted contaminate distribution.

IPC Classes  ?

  • G01N 21/15 - Preventing contamination of the components of the optical system or obstruction of the light path
  • G01N 21/17 - Systems in which incident light is modified in accordance with the properties of the material investigated
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/94 - Investigating contamination, e.g. dust
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 1/84 - Inspecting
  • G03F 7/20 - Exposure; Apparatus therefor

33.

IMAGE MODELING-ASSISTED CONTOUR EXTRACTION

      
Application Number US2023024218
Publication Number 2023/249806
Status In Force
Filing Date 2023-06-02
Publication Date 2023-12-28
Owner KLA CORPORATION (USA)
Inventor
  • Eyring, Stefan
  • Chen, Zhijin
  • Laske, Frank

Abstract

A wafer metrology tool, such as a scanning electron microscope, can generate an image of a structure on a wafer. A simulated image of the structure also is determined from a design of the wafer. A contour of the structure in the image and a contour of the structure in the simulated image are determined. These contours are compared.

IPC Classes  ?

  • G01B 15/04 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
  • G01B 15/02 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • H01L 21/66 - Testing or measuring during manufacture or treatment

34.

SYSTEM AND METHOD FOR REDUCING SAMPLE NOISE USING SELECTIVE MARKERS

      
Application Number US2023024636
Publication Number 2023/244468
Status In Force
Filing Date 2023-06-07
Publication Date 2023-12-21
Owner KLA CORPORATION (USA)
Inventor
  • Chen, Grace Hsiu-Ling
  • Virk, Kuljit S.
  • Gruebele, Martin

Abstract

An inspection is disclosed. The system may include an illumination source configured to illuminate a sample. The sample may include a multi-layer stack including a plurality of layers formed of a first material and at least a second material. The first material may include a light transmissive material and the second material may include light reflective material. A top layer within the stack may include absorptive markers configured to selectively bind to the top layer. The absorptive markers may be configured block light transmission through layers positioned below the top layer. The top layer may include photoluminescent markers configured to selectively bind to the light reflective material to enhance a feature of interest on the sample. The system may include detectors configured to detect photoluminescent emission emitted by the photoluminescent markers and optical elements may be configured to direct the photoluminescent emission to the detectors.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H01L 21/66 - Testing or measuring during manufacture or treatment

35.

ROTATING TARGET FOR EXTREME ULTRAVIOLET SOURCE WITH LIQUID METAL

      
Application Number US2023023789
Publication Number 2023/239563
Status In Force
Filing Date 2023-05-29
Publication Date 2023-12-14
Owner KLA CORPORATION (USA)
Inventor
  • Bykanov, Alexander
  • Shi, Rui-Fang

Abstract

An extreme ultraviolet (EUV) light source includes a vacuum chamber with a rotating target assembly therein. The rotating target assembly has an annular groove with a distal wall relative to an axis of rotation. The distal wall includes a porous region. The rotating target assembly is rotated to form a target by centrifugal force with a layer of molten metal on a distal wall of an annular groove in the rotating target assembly.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G03F 1/84 - Inspecting

36.

UNIVERSAL METROLOGY MODEL

      
Application Number US2023022796
Publication Number 2023/235165
Status In Force
Filing Date 2023-05-19
Publication Date 2023-12-07
Owner KLA CORPORATION (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir
  • Manassen, Amnon

Abstract

A metrology system may arrange metrology measurements for a plurality of metrology targets distributed in a plurality of fields on one or samples into a signal vector, where the metrology measurements associated with the metrology targets in each of the plurality of fields are grouped within the signal vector. The system may further decompose the signal vector into reconstruction vectors associated with different spectral components of the signal vector. The system may further classify a subset of the reconstruction vectors as components of a metrology model, where a sum of the components corresponds to a metrology model describing the metrology measurements on the one or more samples. The system may further generate control signals to control one or more processing tools based on the metrology model.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment

37.

METHOD AND SYSTEM OF IMAGE-FORMING MULTI-ELECTRON BEAMS

      
Application Number US2023022470
Publication Number 2023/235145
Status In Force
Filing Date 2023-05-17
Publication Date 2023-12-07
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Sears, Christopher
  • Jiang, Youfei
  • K. Shriyan, Sameet
  • Lee, Jeong Ho
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

A multi-electron beam system that forms hundreds of beamlets can focus the beamlets, reduce Coulomb interaction effects, and improve resolutions of the beamlets. A Wien filter with electrostatic and magnetic deflection fields can separate the secondary electron beams from the primary electron beams and can correct the astigmatism and source energy dispersion blurs for all the beamlets simultaneously.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/14 - Lenses magnetic
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation

38.

CREATING MULTIPLE ELECTRON BEAMS WITH A PHOTOCATHODE FILM

      
Application Number US2023024074
Publication Number 2023/235441
Status In Force
Filing Date 2023-05-31
Publication Date 2023-12-07
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Jiang, Youfei
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

An electron-beam device includes a laser and a photocathode film. The photocathode film has a front side and a back side and emits a plurality of electron beamlets when illuminated from the back side using the laser. The electron-beam device also includes electrodes to extract the plurality of electron beamlets from the front side of the photocathode film and to control shapes of the plurality of electron beamlets.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

39.

CONICAL POCKET LASER-SUSTAINED PLASMA LAMP

      
Application Number US2023022197
Publication Number 2023/224891
Status In Force
Filing Date 2023-05-15
Publication Date 2023-11-23
Owner KLA CORPORATION (USA)
Inventor
  • Kumar, Sumeet
  • Wittenberg, Joshua
  • Wang, Mark S.
  • Singh, Rajkeshar
  • Kagebayashi, Yoshio
  • Nozaki, Shinichiro

Abstract

A plasma lamp for use in a broadband plasma source of an inspection tool is disclosed. The plasma lamp includes a plasma bulb configured to contain a gas and generate a plasma within the plasma bulb. The plasma bulb is formed from a material at least partially transparent to illumination from a pump laser and at least a portion of broadband radiation emitted by the plasma. The plasma bulb includes a conical pocket. The conical pocket is configured to disrupt a plume rising from the plasma.

IPC Classes  ?

  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating
  • G01N 21/21 - Polarisation-affecting properties
  • H01J 61/02 - Gas-discharge or vapour-discharge lamps - Details

40.

LASER-SUSTAINED PLASMA SOURCE BASED ON COLLIDING LIQUID JETS

      
Application Number US2023018766
Publication Number 2023/205064
Status In Force
Filing Date 2023-04-17
Publication Date 2023-10-26
Owner KLA CORPORATION (USA)
Inventor
  • Szilagyi, John
  • Bezel, Ilya

Abstract

A laser-sustained broadband light source includes a gas containment structure and multiple jet nozzles. The jet nozzles are configured to direct multiple liquid jets of plasma-forming material in directions to collide with one another within the gas containment structure. The laser-sustained broadband light source further includes a laser pump source configured to generate an optical pump to sustain a plasma in a region of the gas containment structure at a collision point of the plurality of liquid jets and a light collector element configured to collect broadband light emitted from the plasma.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

41.

MEASUREMENT OF THICK FILMS AND HIGH ASPECT RATIO STRUCTURES

      
Application Number US2023018917
Publication Number 2023/205129
Status In Force
Filing Date 2023-04-18
Publication Date 2023-10-26
Owner KLA CORPORATION (USA)
Inventor
  • Wang, David Y.
  • Krishnan, Shankar

Abstract

The system includes a light source configured to emit light along an illumination path; a projection optical assembly disposed in the illumination path; a target disposed in the illumination path and configured to reflect the light along a collection path; a collection optical assembly disposed in the collection path; a detector disposed in the collection path and configured to detect the light reflected from the target and generate an output signal based on the detected light; and a processor in electronic communication with the detector and configured to generate a measurement of the target based on the output signal. The projection optical assembly defines a first numerical aperture at the target and the collection optical assembly defines a second numerical aperture at the target, and the first numerical aperture is slightly larger than the second numerical aperture for measurements of thick films and high aspect ratio structures.

IPC Classes  ?

  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • H01L 21/66 - Testing or measuring during manufacture or treatment

42.

METHODS AND PROCESS CONTROL FOR REAL TIME INERT MONITORING OF ACID COPPER ELECTRODEPOSITION SOLUTIONS

      
Application Number US2023017503
Publication Number 2023/200640
Status In Force
Filing Date 2023-04-05
Publication Date 2023-10-19
Owner KLA CORPORATION (USA)
Inventor
  • Shalyt, Eugene
  • Haber, Aaron
  • Bai, Chuannan

Abstract

Techniques including methods and apparatuses for inert real-time measurement and monitoring of metal and acid concentrations in a processing solution are provided. Methods include performing an analytical method (e.g., spectral measurements) of the processing solution to determine a metal concentration and performing another analytical method (e.g., density measurements) of the processing solution to determine an acid concentration with compensation of raw results based on the determined metal concentration. The determination of the acid concentration can also include compensation of raw results based on another analytical method (e.g., temperature measurements) of the processing solution. The analytical methods can be performed in any order or in parallel. Both metal and acid concentrations in the processing solution can therefore be inertly and continuously measured and monitored in real time.

IPC Classes  ?

  • G01N 21/33 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
  • G01N 21/27 - Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection

43.

MULTI-DIRECTIONAL OVERLAY METROLOGY USING MULTIPLE ILLUMINATION PARAMTERS AND ISOLATED IMAGING

      
Application Number US2023017502
Publication Number 2023/196359
Status In Force
Filing Date 2023-04-05
Publication Date 2023-10-12
Owner KLA CORPORATION (USA)
Inventor
  • Vaknin, Yonatan
  • Hill, Andrew
  • Manassen, Amnon

Abstract

An optical metrology system may include an overlay metrology tool for characterizing an overlay target on a sample, where the overlay target includes first-direction periodic features in a first set of layers of the sample, and second-direction periodic features in a second set of layers of the sample. The overlay metrology tool may simultaneously illuminate the overlay target with first illumination beams and second illumination beams and may further generate images of the overlay target based on diffraction of the first illumination beams and the second illumination beams by the overlay target, where diffraction orders of the first illumination beams contribute to resolved image formation of only the first-direction periodic features, and where diffraction orders of the second illumination beams contribute to resolved image formation of only the second-direction periodic features. The system may further generate overlay measurements along the first and second measurement directions based on the images.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G02B 27/28 - Optical systems or apparatus not provided for by any of the groups , for polarising
  • G02B 27/09 - Beam shaping, e.g. changing the cross-sectioned area, not otherwise provided for
  • G03F 7/20 - Exposure; Apparatus therefor

44.

MINIATURE HYBRID ELECTRON BEAM COLUMN

      
Application Number US2023015842
Publication Number 2023/196115
Status In Force
Filing Date 2023-03-21
Publication Date 2023-10-12
Owner KLA CORPORATION (USA)
Inventor
  • Muray, Lawrence
  • Gerling, John
  • Spallas, James
  • Brodie, Alan

Abstract

A miniature electron beam column in combination with magnetostatic lenses to produce very high-performance miniature electron or ion beam columns. Silicon-based electron optical components provide high-accuracy formation and alignment of critical optical elements and the magnetic lenses provide low-aberration focusing or condensing elements. Accurate assembly of the silicon and magnetic components is achievable via the multilayered assembly techniques and allows for achieving high performance.

IPC Classes  ?

  • H01J 37/141 - Electromagnetic lenses
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

45.

EXTRA TALL TARGET METROLOGY

      
Application Number US2023016476
Publication Number 2023/196133
Status In Force
Filing Date 2023-03-28
Publication Date 2023-10-12
Owner KLA CORPORATION (USA)
Inventor
  • Uziel, Yoram
  • Hildesheim, Ariel
  • Novikov, Alexander
  • Manassen, Amnon
  • Lavert, Etay
  • Bachar, Ohad
  • Grauer, Yoav

Abstract

A metrology system includes an imaging system. The imaging system may include an objective lens. The metrology system may include one or more detectors. The metrology system may include an objective positioning stage structurally coupled to the objective lens and configured to adjust a focal plane of at least one of the one or more detectors via movement along an optical axis of the metrology system. The metrology system may include one or more proximity sensors configured to measure lateral positions of a stage element as the objective positioning stage moves along the optical axis. The metrology system may be configured to determine a metrology measurement associated with a target on a sample using the images and lateral positions of the stage element when implementing a metrology recipe.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H01L 21/66 - Testing or measuring during manufacture or treatment

46.

SCREENING EDGE PLACEMENT UNIFORMITY WAFER STOCHASTICS

      
Application Number US2023017003
Publication Number 2023/196167
Status In Force
Filing Date 2023-03-30
Publication Date 2023-10-12
Owner KLA CORPORATION (USA)
Inventor Eyring, Stefan

Abstract

A simulated tool signal is determined from design data and tool properties of the tool making the measurements. A design-assisted composite signal is determined from measurements. An edge placement uniformity signal is then determined by comparing the simulated tool signal and the design-assisted composite signal. A shape and/or an area of the edge placement uniformity signal can be analyzed. The edge placement uniformity signal enables screening of structures with respect to wafer stochastics without the need to fully characterize all individual structures.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01B 15/02 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
  • G01B 15/04 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
  • H01L 21/66 - Testing or measuring during manufacture or treatment

47.

INSPECTION WITH PREVIOUS STEP SUBTRACTION

      
Application Number US2023017007
Publication Number 2023/192545
Status In Force
Filing Date 2023-03-31
Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Danen, Robert, M.
  • Park, Sean
  • Starodub, Dmitri
  • Sezginer, Abdurrahman

Abstract

An inspection system may generate first-step images of multiple sample regions after a first process step and generate second-step images of the sample regions after a second process step, where the second process step modifies the sample in at least one of the sample regions. The system may further identify one of the sample regions as a test region and at least some of the remaining sample regions as comparison regions, where the second-step image of the test region is a test image and the second-step images of the comparison regions are comparison images. The system may further generate a multi-step difference image by subtracting a combination of at least one of the second-step comparison images and at least two of the first-step images from the test image. The system may further identify defects in the test region associated with the second process step based on the multi-step difference image.

IPC Classes  ?

48.

SCANNING SCATTEROMETRY OVERLAY METROLOGY

      
Application Number US2023013654
Publication Number 2023/191980
Status In Force
Filing Date 2023-02-22
Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Hill, Andrew
  • Paskover, Yuri
  • Gdor, Itay
  • Vaknin, Yonatan
  • Lubashevsky, Yuval

Abstract

An overlay metrology system may include an illumination an illumination source to generate an illumination beam, one or more illumination optics to direct the illumination beam to an overlay target on a sample as the sample is scanned relative to the illumination beam along a scan direction, the target including one or more cells having Moiré structures. The system may also include two photodetectors at locations of a pupil plane associated with Moiré or overlapping diffraction orders from the Moiré structures. The system may then generate overlay measurements based on time-varying interference signals captured by the detector as the sample is scanned.

IPC Classes  ?

  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G03F 7/20 - Exposure; Apparatus therefor

49.

SYSTEM AND METHOD FOR ISOLATION OF SPECIFIC FOURIER PUPIL FREQUENCY IN OVERLAY METROLOGY

      
Application Number US2023014005
Publication Number 2023/191988
Status In Force
Filing Date 2023-02-28
Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Paskover, Yuri
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Levinski, Vladimir
  • Volfman, Alexander
  • Uziel, Yoram
  • Men, Yevgeniy

Abstract

A system includes an illumination source configured to generate an illumination beam, and a collection sub-system that includes an objective lens, one or more detectors located at a collection pupil plane, a light modulator, and a controller. The light modulator is configured to direct one or more selected portions of measurement light to the one or more detectors. The controller includes one or more processors configured to execute program instructions causing the one or more processors to execute a metrology recipe by: receiving detection signals from the one or more detectors, wherein the detection signals are associated with the one or more selected portions of the measurement light directed to the one or more detectors; and generating an overlay measurement associated with at least two layers of a sample based on the detection signals.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G03F 7/20 - Exposure; Apparatus therefor

50.

MULTI-MODE OPTICAL INSPECTION

      
Application Number US2023017001
Publication Number 2023/192541
Status In Force
Filing Date 2023-03-30
Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Virk, Kuljit S.
  • Zhou, Minchuan
  • Bhattacharya, Indrasen
  • Sezginer, Abdurrahman

Abstract

An inspection system may develop an inspection recipe by generating N inspection images of a preliminary sample with one or more optical inspection sub-systems associated with N different optical inspection modes, generating probabilities that each of the locations of the preliminary sample are in background or defect classes using a classifier with the inspection images from at least some combinations of a number M of the optical inspection modes, where M is greater than one and less than N and corresponds to a number of the optical inspection modes to include in the inspection recipe, and selecting one of the combinations of M of the optical inspection modes based on a metric describing a distinction between the background and defect classes. The inspection system may further identify defects on a test sample using M inspection images generated with the selected combination of M of the optical inspection modes.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment

51.

SHOT NOISE REDUCTION USING FRAME AVERAGING

      
Application Number US2023017004
Publication Number 2023/192543
Status In Force
Filing Date 2023-03-30
Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Sezginer, Abdurrahman
  • Mcbride, Patrick
  • Bhattacharya, Indrasen
  • Danen, Robert, M.

Abstract

An inspection system includes a controller including one or more processors configured to execute program instructions. The program instructions cause the one or more processors to receive at least a first portion of a first set of repeat swaths of a first scan path of a sample. The program instructions cause the one or more processors to generate an image by averaging the first portion of the first set of repeat swaths. Averaging the first portion of the first set of repeat swaths reduces a noise in the image. The program instructions cause the one or more processors to detect one or more defects in an inspection region of the sample using the image.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment

52.

CONTEXT-BASED DEFECT INSPECTION

      
Application Number US2023017008
Publication Number 2023/192546
Status In Force
Filing Date 2023-03-31
Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Duffy, Brian
  • Ries, Bradley
  • Karsenti, Laurent
  • Virk, Kuljit S
  • Elron, Asaf
  • Berdichevsky, Ruslan
  • Shmuel, Oriel Ben
  • Fenster, Shlomi
  • Gorski, Yakir
  • Dovrat, Oren
  • Dekel, Ron
  • Garbin, Emanuel
  • Smekhov, Sasha

Abstract

A context-based inspection system is disclosed. The system may include an optical imaging sub-system. The system may further include one or more controllers communicatively coupled to the optical imaging system. The one or more controllers may be configured to: receive one or more reference images; receive one or more test images of a sample; generate one or more probabilistic context maps during inspection runtime using an unsupervised classifier; provide the generated one or more probabilistic context maps to a supervised classifier during the inspection runtime; and apply the supervised classifier to the received one or more test images to identify one or more DOIs on the sample.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06F 18/24 - Classification techniques

53.

ELECTRON GUN AND ELECTRON MICROSCOPE

      
Application Number US2023015595
Publication Number 2023/177916
Status In Force
Filing Date 2023-03-20
Publication Date 2023-09-21
Owner KLA CORPORATION (USA)
Inventor
  • Chuang, Yung-Ho Alex
  • Xiao-Li, Yinying
  • Garcia Berrios, Edgardo
  • Fielden, John
  • Ghirardini, Lavinia
  • Nagao, Masayoshi

Abstract

An electron gun for an electron microscope or similar device includes a field emitter cathode having a field emitter protrusion extending from the output surface of a monocrystalline silicon substrate, and electrodes configured to enhance the emission of electrons from a tip portion of the field emitter protrusion to generate a primary electron beam. A contiguous TiN layer is disposed directly on at least the tip portion of the field emitter protrusion using a process that minimizes oxidation and defects in the TiN layer.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 1/304 - Field-emissive cathodes
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

54.

MULTI-ELEMENT SUPER RESOLUTION OPTICAL INSPECTION SYSTEM

      
Application Number US2023015236
Publication Number 2023/177699
Status In Force
Filing Date 2023-03-15
Publication Date 2023-09-21
Owner KLA CORPORATION (USA)
Inventor Chen, Grace H.

Abstract

A method is disclosed. The method may include generating a first optical image of a sample with a first inspection sub-system. The first optical image may be generated when a first set of photoluminescent markers are emitting photoluminescent illumination at a first time interval. The method may include generating additional optical images with an additional inspection sub-system. The additional optical images may be generated when additional photoluminescent markers are emitting photoluminescent illumination at additional time intervals. The method may include generating an accumulated optical image based on the first optical image and the additional optical images. The method may include determining a location of the photoluminescent markers based on the accumulated optical image. The method may include determining a pattern of the sample based on the determined location of the photoluminescent markers.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/17 - Systems in which incident light is modified in accordance with the properties of the material investigated

55.

MEASUREMENT OF STITCHING ERROR USING SPLIT TARGETS

      
Application Number US2022026083
Publication Number 2023/167695
Status In Force
Filing Date 2022-04-25
Publication Date 2023-09-07
Owner KLA CORPORATION (USA)
Inventor
  • Ghinovker, Mark
  • Feler, Yoel

Abstract

A method of semiconductor metrology includes patterning a film layer on a semiconductor substrate to define a first field on the semiconductor substrate with a first pattern comprising at least a first target feature within a first margin along a first edge of the first field and to define a second field, which abuts the first field, with a second pattern comprising at least a second target feature within a second margin along a second edge of the second field, such that the second edge of the second field adjoins the first edge of the first field. The first target feature in the first margin is adjacent to the second target feature in the second margin without overlapping the second target feature. An image is captured of at least the first and second target features and is processed to detect a misalignment between the first and second fields.

IPC Classes  ?

56.

SYSTEM AND METHOD TO WEIGHT DEFECTS WITH CO-LOCATED MODELED FAULTS

      
Application Number US2023013548
Publication Number 2023/167795
Status In Force
Filing Date 2023-02-22
Publication Date 2023-09-07
Owner KLA CORPORATION (USA)
Inventor
  • Price, David W.
  • Rathert, Robert J.
  • Lenox, Chet V.
  • Donzella, Oreste

Abstract

Systems and methods for generating defect criticality are disclosed. Such systems and methods may include identifying defect results including a defect and a defect location. Such systems and methods may include receiving fault test recipes configured to test potential faults at a plurality of testing locations. Such systems and methods may include identifying a plurality of N-detect parameters based on a countable number of times the fault test recipes are configured to test a potential fault. Such systems and methods may include determining a plurality of weighting parameters based on the plurality of N-detect parameters. Such systems and methods may include generating the defect criticality for the defect based on a proximity between the plurality of testing locations and the defect location and the plurality of weighting.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment

57.

ELECTROCHEMICAL ANALYSIS OF METALLIC DEPOLARIZERS IN GOLD ELECTRODEPOSITION

      
Application Number US2023013658
Publication Number 2023/167798
Status In Force
Filing Date 2023-02-22
Publication Date 2023-09-07
Owner KLA CORPORATION (USA)
Inventor
  • Saitta, Patrick
  • Wang, Jingjing
  • Shalyt, Eugene

Abstract

The present disclosure provides methods for determining concentration of various trace metal ions in aqueous solutions, such as gold plating solutions. At a particular fixed reduction potential, the cathodic current can suddenly increase in magnitude after a certain period of time (e.g., an incubation time) passes in the presence of a trace metal ion (e.g., Tl(I)), where the incubation time is inversely proportional to the concentration of trace metal in the electrolyte. The concentration of the trace metal can be calculated after measuring the incubation time and comparing it against a calibration curve.

IPC Classes  ?

  • G01N 27/48 - Systems using polarography, i.e. measuring changes in current under a slowly-varying voltage
  • G01N 27/416 - Systems

58.

SEMICONDUCTOR MEASUREMENTS WITH ROBUST IN-LINE TOOL MATCHING

      
Application Number US2023012554
Publication Number 2023/158576
Status In Force
Filing Date 2023-02-07
Publication Date 2023-08-24
Owner KLA CORPORATION (USA)
Inventor Pandev, Stilian Ivanov

Abstract

Methods and systems for improved monitoring of tool drift and tool-to-tool matching across large fleets of measurement systems employed to measure semiconductor structures are presented herein. One or more Quality Control (QC) wafers are measured by each of a fleet of measurement systems. Values of system variables are extracted from the QC measurement data associated with each measurement system using a trained QC encoder. The extracted values of the system variables are employed to condition the corresponding measurement model employed by each measurement tool to characterize structures under measurement having unknown values of one or more parameters of interest. Accurate tool-to-tool matching across a fleet of conditioned measurement systems is achieved by extracting values of system variables from measurement data collected from the same set of QC wafers. Tool health is monitored based on changes in values of system variables extracted from measurements performed at different times.

IPC Classes  ?

  • G01N 23/201 - Measuring small-angle scattering, e.g. small angle X-ray scattering [SAXS]
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06N 20/00 - Machine learning
  • H01L 21/66 - Testing or measuring during manufacture or treatment

59.

ESTIMATING IN-DIE OVERLAY WITH TOOL INDUCED SHIFT CORRECTION

      
Application Number US2023013089
Publication Number 2023/158661
Status In Force
Filing Date 2023-02-15
Publication Date 2023-08-24
Owner KLA CORPORATION (USA)
Inventor
  • Moon, Min-Yeong
  • Pandev, Stilian Ivanov
  • Sanko, Dzmitry

Abstract

A metrology module includes an estimation model that is configured to provide an estimation of independent overlay with tool induced shift on received wafers based on only one azimuth angle spectra. The estimation model can use at least one machine learning algorithm. The estimation model can be derived by the machine learning algorithm applied to calculated training data based on a first training sample set from initial metrology measurements and an additional tool induced shift training sample.

IPC Classes  ?

60.

IMAGING OVERLAY WITH MUTUALLY COHERENT OBLIQUE ILLUMINATION

      
Application Number US2023012454
Publication Number 2023/154255
Status In Force
Filing Date 2023-02-07
Publication Date 2023-08-17
Owner KLA CORPORATION (USA)
Inventor
  • Hill, Andrew V.
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon
  • Vaknin, Yonatan

Abstract

An overlay metrology system may include illumination sources configured to generate one or more pairs of mutually coherent illumination beams and illumination optics to direct the pairs of illumination beams to an overlay target at common altitude incidence angles and symmetrically opposed azimuthal incidence angles, where the overlay target includes two or more grating structures distributed along one or more measurement directions. The system may further include imaging optics to image the overlay target onto detectors when implementing the metrology recipe, where an image of a particular one of the two or more grating structures is generated exclusively with a single non-zero diffraction order of light from each of the illumination beams within the particular one of the pairs of illumination beams. The system may further include a controller to determine overlay measurements based on images of the overlay target.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G03F 7/20 - Exposure; Apparatus therefor

61.

CLEANROOM COMPATIBLE ROBOTIC END EFFECTOR EXCHANGE SYSTEM

      
Application Number US2023011914
Publication Number 2023/150088
Status In Force
Filing Date 2023-01-31
Publication Date 2023-08-10
Owner KLA CORPORATION (USA)
Inventor
  • Clarke, Benjamin
  • Wiseman, Asaf
  • Pressburger, Tzachi
  • Brisman, Michael
  • Di Regolo, Joseph A.

Abstract

The system includes a robot interface disposed on a robot arm, and an end effector configured to selectively couple to the robot arm via the robot interface. The end effector includes an upper jaw, a lower jaw, and a pair of arms configured to carry a substrate. The upper jaw and the lower jaw are spaced apart in a first direction and biased together, and the pair of arms are spaced apart in a second direction orthogonal to the first direction. When the end effector is coupled to the robot arm, the robot interface is disposed between the upper jaw and the lower jaw. To exchange the end effector, the upper jaw and the lower jaw can be separated to release the robot interface.

IPC Classes  ?

  • B25J 15/04 - Gripping heads with provision for the remote detachment or exchange of the head or parts thereof
  • B25J 15/00 - Gripping heads
  • B25J 19/02 - Sensing devices

62.

REFERENCE IMAGE GROUPING IN OVERLAY METROLOGY

      
Application Number US2023012163
Publication Number 2023/150196
Status In Force
Filing Date 2023-02-02
Publication Date 2023-08-10
Owner KLA CORPORATION (USA)
Inventor
  • Peled, Einat
  • Cohen, Naama
  • Lamhot, Yuval

Abstract

An overlay metrology system may include a controller for receiving metrology data associated with a plurality of overlay targets on one or more samples; generating a reference metric for at least some of the plurality of overlay targets based on the metrology data, where the reference metric is associated with one or more properties of the respective overlay targets that contributes to overlay error; classifying the plurality of overlay targets into one or more groups based on the reference metrics calculated for the plurality of overlay targets; generating a reference image for at least some of the one or more groups; generating corrected metrology data using the associated reference image for at least some of the one or more groups; and generating overlay measurements for the plurality of overlay targets based on the corrected metrology data.

IPC Classes  ?

63.

COMBINING FOCUSED ION BEAM MILLING AND SCANNING ELECTRON MICROSCOPE IMAGING

      
Application Number US2023011088
Publication Number 2023/150026
Status In Force
Filing Date 2023-01-18
Publication Date 2023-08-10
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Youfei
  • Steigerwald, Michael
  • Sears, Christopher

Abstract

The dual focused ion beam and scanning electron beam system includes an electron source that generates an electron beam and an ion source that generates an ion beam. The electron beam column directs an electron beam at a normal angle relative to a top surface of the stage. An ion beam column directs the ion beam at the stage. The ion beam is at an angle relative to the electron beam. A detector receives the electron beam reflected from the wafer on the stage.

IPC Classes  ?

  • G01N 23/225 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes
  • G01N 23/2204 - Specimen supports therefor; Sample conveying means therefor
  • H01J 37/05 - Electron- or ion-optical arrangements for separating electrons or ions according to their energy
  • H01J 37/10 - Lenses
  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01L 21/66 - Testing or measuring during manufacture or treatment

64.

SELECTIVE MARKING OF A SUBSTRATE WITH FLUORESCENT PROBES HAVING A SMALL FORM FACTOR

      
Application Number US2023011664
Publication Number 2023/146992
Status In Force
Filing Date 2023-01-27
Publication Date 2023-08-03
Owner KLA CORPORATION (USA)
Inventor
  • Kim, Jinsang
  • Chen, Grace H.

Abstract

A photoluminescent material can be applied to part of a substrate as part of substrate inspection. The photoluminescent material includes a conjugated polymer having a coiled macroscopic molecular shape and a meta-linkage or an ortho-linkage. The substrate is imaged using an inspection system. The conjugated polymer can be, for example, poly (m-phenylene ethynylene) (PPE) or poly (para-phenylene vinylene) (PPV).

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/64 - Fluorescence; Phosphorescence
  • H01L 21/66 - Testing or measuring during manufacture or treatment

65.

ANNULAR APODIZER FOR SMALL TARGET OVERLAY MEASUREMENT

      
Application Number US2022053301
Publication Number 2023/146657
Status In Force
Filing Date 2022-12-19
Publication Date 2023-08-03
Owner KLA CORPORATION (USA)
Inventor
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Volfman, Alon Alexander
  • Negri, Daria
  • Men, Yevgeniy
  • Farchi, Elad

Abstract

Metrology is performed on a semiconductor wafer using a system with an apodizer. A spot is formed on the semiconductor wafer with a diameter from 2 nm to 5 nm. The associated beam of light has a wavelength from 400 nm to 800 nm. Small target measurement can be performed at a range of optical wavelengths.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

66.

SYSTEM FOR AUTOMATIC DIAGNOSTICS AND MONITORING OF SEMICONDUCTOR DEFECT DIE SCREENING PERFORMANCE THROUGH CORRELATION OF DEFECT AND ELECTRICAL TEST DATA

      
Application Number US2023010726
Publication Number 2023/146760
Status In Force
Filing Date 2023-01-13
Publication Date 2023-08-03
Owner KLA CORPORATION (USA)
Inventor
  • Price, David W.
  • Rathert, Robert J.
  • Lenox, Chet V.
  • Donzella, Oreste
  • Lach, Justin
  • Robinson, John

Abstract

Systems and methods for determining a diagnosis of a screening system are disclosed. Such systems and methods include identifying defect results based on inline characterization tool data, identifying electrical test results based on electrical test data, generating one or more correlation metrics based on the defect results and the electrical test results, and determining at least one diagnosis of the screening system based on the one or more correlation metrics, the diagnosis corresponding to a performance of the screening system.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment

67.

METHODS AND SYSTEMS FOR TARGETED MONITORING OF SEMICONDUCTOR MEASUREMENT QUALITY

      
Application Number US2022053898
Publication Number 2023/140957
Status In Force
Filing Date 2022-12-22
Publication Date 2023-07-27
Owner KLA CORPORATION (USA)
Inventor
  • Gellineau, Antonio
  • Shchegrov, Andrei V.
  • Park, Hyowon
  • Gurudath, Pavan
  • Song, Jung Heon
  • Liman, Christopher

Abstract

Methods and systems for monitoring the quality of a semiconductor measurement in a targeted manner are presented herein. Rather than relying on one or more general indices to determine overall measurement quality, one or more targeted measurement quality indicators are determined. Each targeted measurement quality indicator provides insight into whether a specific operational issue is adversely affecting measurement quality. In this manner, the one or more targeted measurement quality indicators not only highlight deficient measurements, but also provide insight into specific operational issues contributing to measurement deficiency. In some embodiments, values of one or more targeted measurement quality indicators are determined based on features extracted from measurement data. In some embodiments, values of one or more targeted measurement quality indicators are determined based on features extracted from one or more indications of a comparison between measurement data and corresponding measurement data simulated by a trained measurement model.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G06N 20/00 - Machine learning
  • H01L 21/66 - Testing or measuring during manufacture or treatment

68.

CALIBRATED MEASUREMENT OF OVERLAY ERROR USING SMALL TARGETS

      
Application Number US2022018812
Publication Number 2023/136845
Status In Force
Filing Date 2022-03-04
Publication Date 2023-07-20
Owner KLA CORPORATION (USA)
Inventor
  • Feler, Yoel
  • Ghinovker, Mark
  • Ben David, Nir
  • Uziel, Yoram

Abstract

A method for semiconductor metrology includes depositing first and second film layers on a substrate, patterning the layers to define a first target including a first feature in the first layer and a second feature in the second layer adjacent to the first feature, and a second target on the substrate including a first part, which is identical to the first target, and a second part adjacent to the first part such that the second overlay target has rotational symmetry of 180 around a normal to the substrate. The method further includes capturing and processing a first image of the second target to compute a calibration function based on the first and second parts of the target, and capturing and processing a second image of the first target while applying the calibration function to estimate an overlay error between the first and second film layers at the first location.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns

69.

METHODS AND SYSTEMS FOR REGULARIZING THE OPTIMIZATION OF APPLICATION SPECIFIC SEMICONDUCTOR MEASUREMENT SYSTEM PARAMETER SETTINGS

      
Application Number US2022049179
Publication Number 2023/129279
Status In Force
Filing Date 2022-11-08
Publication Date 2023-07-06
Owner KLA CORPORATION (USA)
Inventor
  • Liman, Christopher D.
  • Nagda, Bindi M.
  • Gellineau, Antonio Arion

Abstract

Methods and systems for optimizing a semiconductor measurement recipe that is robust to variations of hardware modeling parameters and geometric modeling errors are described herein. Robust measurement recipe optimization minimizes a cost function including one or more regularization terms that constrain the process space, and thus, significantly reduces the computational effort required to optimize a measurement recipe. This reduces overall process time and improves wafer throughput. In some examples, optimization is performed based on measurement data associated with multiple instances of a semiconductor structure; each instance characterized a different value of one or more geometric parameters of interest. In some examples, the search for optimized measurement recipes is limited to the discrete set of measurement system parameter values associated with the available measurement data set. In this manner, the performance of a particular measurement recipe is validated using existing measurement data.

IPC Classes  ?

  • G01B 11/02 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness
  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 21/04 - Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness by measuring coordinates of points
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/93 - Detection standards; Calibrating
  • H01L 21/66 - Testing or measuring during manufacture or treatment

70.

SCANNING OVERLAY METROLOGY USING OVERLAY TARGETS HAVING MULTIPLE SPATIAL FREQUENCIES

      
Application Number US2022052061
Publication Number 2023/129346
Status In Force
Filing Date 2022-12-06
Publication Date 2023-07-06
Owner KLA CORPORATION (USA)
Inventor
  • Lubashevsky, Yuval
  • Gdor, Itay
  • Negri, Daria
  • Hajaj, Eitan

Abstract

An overlay metrology system may include an illumination source and illumination optics to illuminate an overlay target on a sample with illumination from the illumination source as the sample is in motion with respect to the illumination from the illumination source in accordance with a measurement recipe. The overlay target may include one or more cells, where a single cell is suitable for measurement along a particular direction. Such a cell may include two or more gratings with different pitches. Further, the system may include two or more photodetectors, each configured to capture three diffraction lobes from the two or more grating structures. The system may further include a controller to determine an overlay measurement associated with each cell of the overlay target.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

71.

MACHINE LEARNING USING A GLOBAL TEXTURE CHARACTERISTIC FOR SEMICONDUCTOR-BASED APPLICATIONS

      
Application Number US2022049178
Publication Number 2023/121778
Status In Force
Filing Date 2022-11-08
Publication Date 2023-06-29
Owner KLA CORPORATION (USA)
Inventor
  • Kucher, David
  • Salomon, Sophie
  • Ramachandran, Vijayakumar

Abstract

Methods and systems for determining information for a specimen are provided. One system includes a computer subsystem configured for determining a global texture characteristic of an image of a specimen and one or more local characteristics of a localized area in the image. The system also includes one or more components executed by the computer subsystem. The component(s) include a machine learning model configured for determining information for the specimen based on the global texture characteristic and the one or more local characteristics. The computer subsystem is also configured for generating results including the determined information. The methods and systems may be used for metrology (in which the determined information includes one or more characteristics of a structure formed on the specimen) or inspection (in which the determined information includes a classification of a defect detected on the specimen).

IPC Classes  ?

72.

SUPERCRITICAL FLUID CLEANING FOR COMPONENTS IN OPTICAL OR ELECTRON BEAM SYSTEMS

      
Application Number US2022053298
Publication Number 2023/121989
Status In Force
Filing Date 2022-12-19
Publication Date 2023-06-29
Owner KLA CORPORATION (USA)
Inventor
  • Sun, Jiulong
  • Jiang, Eric
  • Ehsani, Ali
  • Rose, Garry
  • Taylor, Boyd

Abstract

To clean components in semiconductor manufacturing equipment, such as an optical system or an electron beam system, a component is heated in a chamber. A supercritical fluid formulation is applied to the component in the chamber, which removes molecular and/or particulate contaminants. The supercritical fluid formulation can include one or more of carbon dioxide, water, HCF, alkane, alkene, nitrous oxide, methanol, ethanol, or acetone.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • B08B 7/00 - Cleaning by methods not provided for in a single other subclass or a single group in this subclass
  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,

73.

WAVEPLATES FOR PUPIL POLARIZATION FILTERING

      
Application Number US2022052063
Publication Number 2023/114056
Status In Force
Filing Date 2022-12-07
Publication Date 2023-06-22
Owner KLA CORPORATION (USA)
Inventor
  • Shen, Chong
  • Zhao, Guoheng
  • Whiteside, Bret

Abstract

An optical inspection system includes one or more gratings to convert the polarization of light scattered from a target from an elliptical polarization that varies spatially across a collection pupil to a linear polarization that is uniformly oriented across the collection pupil. In some embodiments, the one or more gratings are single-material gratings. In some embodiments, the one or more gratings include at least one grating on a reflective substrate. The one or more gratings have phase retardation that varies spatially across the collection pupil in accordance with the elliptical polarization. The optical inspection system also includes a linear polarizer to filter out the linearly polarized light.

IPC Classes  ?

  • G01N 21/21 - Polarisation-affecting properties
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G02B 5/30 - Polarising elements
  • H01L 21/66 - Testing or measuring during manufacture or treatment

74.

OVERLAY TARGET DESIGN FOR IMPROVED TARGET PLACEMENT ACCURACY

      
Application Number US2022023751
Publication Number 2023/113850
Status In Force
Filing Date 2022-04-07
Publication Date 2023-06-22
Owner KLA CORPORATION (USA)
Inventor Levinski, Vladimir

Abstract

A method for semiconductor metrology includes depositing a first film layer on a semiconductor substrate and a second film layer overlying the first film layer. The first and second film layers are patterned to create an overlay target having a specified geometrical form by using a projection system having a predefined resolution limit to project optical radiation onto the semiconductor substrate through at least one mask. The mask contains target features having target feature dimensions no less than the predefined resolution limit in an arrangement corresponding to the specified geometrical form of the overlay target and assist features interleaved with the target features and having at least one assist feature dimension that is less than the predefined resolution limit.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 1/42 - Alignment or registration features, e.g. alignment marks on the mask substrates

75.

DEEP ULTRAVIOLET LASER USING STRONTIUM TETRABORATE FOR FREQUENCY CONVERSION

      
Application Number US2022051300
Publication Number 2023/107298
Status In Force
Filing Date 2022-11-29
Publication Date 2023-06-15
Owner KLA CORPORATION (USA)
Inventor
  • Chuang, Yung-Ho Alex
  • Mauser, Kelly
  • Zhang, Baigang
  • Liu, Xuefeng
  • Fielden, John
  • Xiao-Li, Yinying
  • Loginova, Elena

Abstract

477 (SBO) crystal plates 335-1/2/3/4 that are cooperatively configured to create a periodic structure for quasi-phase-matching (QPM) is used in the final frequency doubling stage 230A,B of a laser assembly 200A,B to generate laser output light 239A,B having a wavelength in the range of about 180 nm to 200 nm. One or more fundamental laser beams 211A,B are frequency doubled, down-converted and/or summed using one or more frequency conversion stages 220A, 220B-1, 221B, 222B to generate an intermediate frequency light 212A, 214B with a corresponding wavelength in the range of about 360 nm to 400 nm, and then the final frequency converting stage 130 utilizes the nonlinear crystal 300 to double the frequency of the intermediate frequency light 212A, 214B to generate the desired laser output light 239A,B at high power. Methods, inspection systems, lithography systems and cutting systems incorporating the laser assembly are also described.

IPC Classes  ?

  • H01S 3/10 - Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G03F 1/84 - Inspecting
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H01S 3/16 - Solid materials
  • H01S 3/067 - Fibre lasers

76.

FOUR-POINT TILT ALIGNMENT WAFER CHUCK

      
Application Number US2022049177
Publication Number 2023/107223
Status In Force
Filing Date 2022-11-08
Publication Date 2023-06-15
Owner KLA CORPORATION (USA)
Inventor
  • Oliver, Warren C.
  • Johanns, Kurt
  • Drake, Michael
  • Anthony, Richard
  • Hay, Jennifer

Abstract

An apparatus includes a chuck configured to hold a wafer and a tilt plate disposed beneath the chuck and adjustably connected to the chuck by a pair of upper screws and a pair of lower screws separately arranged in opposite corners of the chuck. Screw heads of the pair of upper screws rest against a top surface of the chuck, such that clockwise rotation of one of the upper screws pushes a corresponding corner of the chuck toward the tilt plate. Screw heads of the pair of lower screws rest against a bottom surface of the chuck, such that counter-clockwise rotation of one of the lower screws pushes a corresponding corner of the chuck away from the tilt plate.

IPC Classes  ?

  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
  • H01L 21/66 - Testing or measuring during manufacture or treatment

77.

PROCESS WINDOW QUALIFICATION MODULATION LAYOUTS

      
Application Number US2022049336
Publication Number 2023/107229
Status In Force
Filing Date 2022-11-09
Publication Date 2023-06-15
Owner KLA CORPORATION (USA)
Inventor
  • Cross, Andrew
  • Sah, Kaushik
  • Plihal, Martin

Abstract

Process window qualification (PWQ) layouts can be used to determine a presence of a pattern anomaly associated with the pattern, patterning process, or patterning apparatus. For example, a modulated die or field can be compared to a slightly lower offset modulated die or field. In another example, the high to low corners for a particular condition or combination of conditions are compared. In yet another example, process modulation parameters can be used to estimate criticality of particular weak points of interest.

IPC Classes  ?

78.

METHODS AND SYSTEMS FOR DATA DRIVEN PARAMETERIZATION AND MEASUREMENT OF SEMICONDUCTOR STRUCTURES

      
Application Number US2022051115
Publication Number 2023/101917
Status In Force
Filing Date 2022-11-29
Publication Date 2023-06-08
Owner KLA CORPORATION (USA)
Inventor
  • Pandev, Stilian Ivanov
  • Jayaraman, Arvind
  • Roy, Proteek Chandan
  • Park, Hyowon
  • Gellineau, Antonio
  • Yoo, Sungchul

Abstract

Methods and systems for generating optimized geometric models of semiconductor structures parameterized by a set of variables in a latent mathematical space are presented herein. Reference shape profiles characterize the shape of a semiconductor structure of interest over a process space. A set of observable geometric variables describing the reference shape profiles is transformed to a set of latent variables. The number of latent variables is smaller than the number of observable geometric variables, thus the dimension of the parameter space employed to characterize the structure of interest is reduced. This dramatically reduces the mathematical dimension of the measurement problem to be solved. As a result, measurement model solutions involving regression are more robust, and training of machine learning based measurement models is simplified. Geometric models parameterized by a set of latent variables are useful for generating measurement models for optical metrology, x-ray metrology, and electron beam based metrology.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 23/18 - Investigating the presence of defects or foreign matter
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G06T 7/00 - Image analysis
  • G06N 20/00 - Machine learning
  • H01L 21/66 - Testing or measuring during manufacture or treatment

79.

IMPROVED TARGETS FOR DIFFRACTION-BASED OVERLAY ERROR METROLOGY

      
Application Number US2022045832
Publication Number 2023/096704
Status In Force
Filing Date 2022-10-06
Publication Date 2023-06-01
Owner KLA CORPORATION (USA)
Inventor
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Negri, Daria
  • Hajaj, Eitan
  • Levinski, Vladimir

Abstract

A method for semiconductor metrology includes depositing first and second overlying film layers on a semiconductor substrate and patterning the layers to define an overlay target. The target includes a first grating pattern in the first layer, including at least a first linear grating oriented in a first direction and at least a second linear grating oriented in a second direction perpendicular to the first direction, and a second grating pattern in the second layer, including at least a third linear grating identical to the first linear grating and a fourth linear grating identical to the second linear grating. The second grating pattern has a nominal offset relative to the first grating pattern by first and second displacements in the first and second directions, respectively. A scatterometric image of the substrate is captured and processed to estimate an overlay error between the patterning of the first and second layers.

IPC Classes  ?

80.

FREQUENCY CONVERSION USING INTERDIGITATED NONLINEAR CRYSTAL GRATINGS

      
Application Number US2022048758
Publication Number 2023/096734
Status In Force
Filing Date 2022-11-03
Publication Date 2023-06-01
Owner KLA CORPORATION (USA)
Inventor
  • Chuang, Yung-Ho Alex
  • Xiaoli, Yinying
  • Loginova, Elena
  • Fielden, John
  • Zhang, Baigang
  • Liu, Xuefeng
  • Weekley Mauser, Kelly

Abstract

A nonlinear crystal grating assembly including two integral nonlinear crystal grating structures having inverted crystal axes and having parallel spaced-apart mesas with predetermined mesa widths arranged such that, when assembled in an interdigitated configuration, the mesas of the two grating structures form an alternating grating pattern that is aligned with a propagation direction of input light, thereby creating a periodic structure for quasi-phase-matching (QPM). The nonlinear crystal grating structures are formed using strontium tetraborate, lithium triborate or another nonlinear crystal material. The nonlinear crystal grating assembly is utilized in a laser assembly in which fundamental wavelengths are doubled and/or summed using intermediate frequency conversion stages, and then a final frequency converting stage utilizes the nonlinear crystal grating assembly to double or sum one or more intermediate light beam frequencies to generate laser output light at high power and photon energy levels. A method and inspection system are also described.

IPC Classes  ?

  • H01S 3/10 - Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
  • H01S 3/00 - Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
  • H01S 3/091 - Processes or apparatus for excitation, e.g. pumping using optical pumping
  • G02F 1/35 - Non-linear optics

81.

KNOWLEDGE DISTILLATION FOR SEMICONDUCTOR-BASED APPLICATIONS

      
Application Number US2022047629
Publication Number 2023/076194
Status In Force
Filing Date 2022-10-25
Publication Date 2023-05-04
Owner KLA CORPORATION (USA)
Inventor
  • Theagarajan, Rajkumar
  • Zhang, Jing
  • Dong, Yujie
  • Bhaskar, Kris

Abstract

Methods and systems for determining information for a specimen are provided. One system includes a computer subsystem and one or more components executed by the computer subsystem that include multiple deep learning (DL) models configured for determining information for a specimen based on output generated by the specimen with learning mode(s) of an imaging subsystem. The one or more components also include a knowledge distillation component configured for combining output generated by the multiple DL models. In addition, the one or more components include a final knowledge distilled DL model configured for determining information for the specimen or an additional specimen based on output generated for the specimen or the additional specimen with runtime mode(s) of the imaging subsystem. Before the final KD DL model determines the information, the knowledge distillation component is configured for supervised training of the final knowledge distilled DL model using the combined output.

IPC Classes  ?

  • G06N 3/08 - Learning methods
  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G06N 5/02 - Knowledge representation; Symbolic representation

82.

SYSTEMS AND METHODS FOR UNIFORM COOLING OF ELECTROMAGNETIC COIL

      
Application Number US2022047782
Publication Number 2023/076315
Status In Force
Filing Date 2022-10-26
Publication Date 2023-05-04
Owner KLA CORPORATION (USA)
Inventor
  • Florendo, Oscar
  • Nguyen, Vincent

Abstract

A system and method for uniform cooling of an electromagnetic coil are provided. The system includes an electromagnetic coil, a cooling structure. and a cooling fluid source. The cooling structure surrounds the entirety of the perimeter of the electromagnetic coil, and includes a first cooling channel and a second cooling channel arranged alternately about the electromagnetic coil. The cooling fluid source is configured to deliver a first cooling fluid to the first cooling channel and a second cooling fluid to the second cooling channel, such that the first cooling fluid and the second cooling fluid cool the electromagnetic coil.

IPC Classes  ?

83.

CONTINUOUS MACHINE LEARNING MODEL TRAINING FOR SEMICONDUCTOR MANUFACTURING

      
Application Number US2022047069
Publication Number 2023/076080
Status In Force
Filing Date 2022-10-19
Publication Date 2023-05-04
Owner KLA CORPORATION (USA)
Inventor
  • Yerushalmi, Liran
  • Kuznetsov, Alexander

Abstract

Two machine learning modules or models are used to generate a recipe. A first machine learning module determines a set of recipes based on measured signals. The second machine learning module analyzes the set of recipes based on a cost function to determine a final recipe. The second machine learning module also can determine settings if the set of recipes fail evaluation using the cost function.

IPC Classes  ?

84.

MOIRÉ SCATTEROMETRY OVERLAY

      
Application Number US2022047072
Publication Number 2023/076081
Status In Force
Filing Date 2022-10-19
Publication Date 2023-05-04
Owner KLA CORPORATION (USA)
Inventor
  • Hill, Andrew V.
  • Levinski, Vladimir
  • Manassen, Amnon
  • Paskover, Yuri

Abstract

An overlay metrology system may scan a sample including inverted Moiré structure pairs along a scan direction, include an illumination sub-system to illuminate first and second Moiré structures of one of an inverted Moiré structure pair with common mutually coherent illumination beam distributions, and include an objective lens to capture at least +/-1 diffraction orders from sample, where a first pupil plane includes overlapping distributions of the collected light with an interference pattern associated with relative wavefront tilt. The system may also include a diffractive element in the first pupil plane, where one diffraction order associated with the first Moiré structure and one diffraction order associated with the second Moiré structure overlap at a common overlap region in a field plane, and a collection field stop located in the field plane to pass light in the common overlap region and block remaining light and remove the relative wavefront tilt.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment

85.

METHOD AND APPARATUS FOR POSITIONING OPTICAL ISOLATOR ASSEMBLY WITH REPLACEABLE MOTOR ASSEMBLY

      
Application Number US2022046345
Publication Number 2023/069281
Status In Force
Filing Date 2022-10-12
Publication Date 2023-04-27
Owner KLA CORPORATION (USA)
Inventor
  • Xu, Yaojun Eugene
  • Garcia, Rudy

Abstract

An apparatus includes a lifting device and a motor assembly. The lifting device is disposed in a lifting device housing and is configured to adjust a vertical position of an optical component connected to the lifting device. The motor assembly is disposed in a motor housing and is configured to drive the lifting device to adjust the vertical position of the optical component. The lifting device housing, the motor housing, and the optical component are disposed in an ultra-high vacuum chamber of an enclosure. In the case of motor failure, the motor housing can be disconnected from the lifting device housing, and the motor assembly can be decoupled from the lifting device, such that the motor assembly can be replaced.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/93 - Detection standards; Calibrating
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H01L 21/66 - Testing or measuring during manufacture or treatment

86.

INDUCED DISPLACEMENTS FOR IMPROVED OVERLAY ERROR METROLOGY

      
Application Number US2021055930
Publication Number 2023/069095
Status In Force
Filing Date 2021-10-21
Publication Date 2023-04-27
Owner KLA CORPORATION (USA)
Inventor
  • Ghinovker, Mark
  • Feler, Yoel

Abstract

A method for semiconductor metrology includes depositing a first film layer on a semiconductor substrate and a second film layer overlying the first film layer. The first and second film layers are patterned to define a plurality of overlay targets comprising first target features formed in the first film layer having respective first locations, which are spaced apart by first nominal distances, and second target features formed in the second film layer having respective second locations, which are spaced apart by second nominal distances, which are different from the first nominal distances. An image of the semiconductor substrate is processed to measure respective displacements between the first and second target locations in each of the overlay targets, and to estimate both an actual overlay error between the patterning of the first and second film layers and a measurement error of the imaging assembly.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination

87.

LASER ANNEAL PATTERN SUPPRESSION

      
Application Number US2022046349
Publication Number 2023/069282
Status In Force
Filing Date 2022-10-12
Publication Date 2023-04-27
Owner KLA CORPORATION (USA)
Inventor
  • Lauber, Jan
  • Kirkwood, Jason

Abstract

A location of grid lines in an image of a laser-annealed semiconductor wafer is determined. An area covered by the grid lines can be filled using a new gray value. The new gray value can be based on a second gray scale value of a neighborhood around the area. The neighborhood is outside of the area covered by the grid lines.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment

88.

MONOLITHIC OPTICAL RETARDER

      
Application Number US2022047071
Publication Number 2023/069472
Status In Force
Filing Date 2022-10-19
Publication Date 2023-04-27
Owner KLA CORPORATION (USA)
Inventor
  • Krishnan, Shankar
  • Wang, David Y

Abstract

A monolithic optical retarder formed from a monolithic prism may include an input face for receiving a light beam, an output face aligned with an optical axis of the light beam prior to entering the input face, and three or more reflection faces. The three or more reflection faces may be oriented to provide an optical path for the light beam from the input face to the output face via reflection by the three or more reflection faces, where the monolithic optical retarder imparts a selected optical retardation on the light beam based on total internal reflection on at least one of the reflection faces. Further, the input face, the output face, and the three or more reflection faces may be oriented such that an optical axis of the light beam exiting the output face is equal to the optical axis of the light beam entering the input face.

IPC Classes  ?

  • G02B 5/30 - Polarising elements
  • G02B 27/14 - Beam splitting or combining systems operating by reflection only
  • G02B 27/10 - Beam splitting or combining systems
  • G01N 21/21 - Polarisation-affecting properties
  • G01N 21/25 - Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
  • G01N 21/41 - Refractivity; Phase-affecting properties, e.g. optical path length
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01N 21/55 - Specular reflectivity

89.

SYSTEMS AND METHODS FOR SETTING UP A PHYSICS-BASED MODEL

      
Application Number US2022046136
Publication Number 2023/064194
Status In Force
Filing Date 2022-10-09
Publication Date 2023-04-20
Owner KLA CORPORATION (USA)
Inventor
  • Huard, Chad
  • Panneerchelvam, Premkumar
  • Parsey, Guy
  • Agarwal, Ankur A.

Abstract

Systems and methods for setting up a physics-based model are provided. One system includes one or more components that are executed by one or more computer subsystems and that include a physics-based model describing a semiconductor fabrication-related process and a set up component configured for setting up the physics-based model in multiple phases in each of which only a subset of all of the parameters of the physics-based model are set up. A configuration of the set up component is changed between at least two of the multiple phases based on the subset of all of the parameters of the physics-based model set up in the at least two of the multiple phases. The set up component may perform a Bayesian optimization technique for cascaded model set up or calibration using multiple information sources and objective functions.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
  • G06F 111/06 - Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
  • G06F 111/20 - Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
  • G06F 119/18 - Manufacturability analysis or optimisation for manufacturability

90.

UNSUPERVISED OR SELF-SUPERVISED DEEP LEARNING FOR SEMICONDUCTOR-BASED APPLICATIONS

      
Application Number US2022045481
Publication Number 2023/059524
Status In Force
Filing Date 2022-10-03
Publication Date 2023-04-13
Owner KLA CORPORATION (USA)
Inventor
  • Zhang, Jing
  • Theagarajan, Rajkumar
  • Dong, Yujie
  • Song, John (qiang)
  • Bhaskar, Kris

Abstract

Methods and systems for determining information for a specimen are provided. One system includes a computer subsystem and one or more components executed by the computer subsystem that include a deep learning (DL) model trained without labeled data (e.g., in an unsupervised or self-supervised manner) and configured to generate a reference for a specimen from one or more inputs that include at least a specimen image or data generated from the specimen image. The computer subsystem is configured for determining information for the specimen from the reference and at least the specimen image or the data generated from the specimen image.

IPC Classes  ?

91.

DESIGN-ASSISTED LARGE FIELD OF VIEW METROLOGY

      
Application Number US2022045714
Publication Number 2023/059669
Status In Force
Filing Date 2022-10-05
Publication Date 2023-04-13
Owner KLA CORPORATION (USA)
Inventor
  • Eyring, Stefan
  • Laske, Frank

Abstract

A metrology system may receive design data including a layout of fabricated instances of a structure on a sample. The system may further receive detection signals from the metrology tool associated within a field of view including multiple of the fabricated instances of the structure. The system may further generate design-assisted composite data for the structure by combining detection signals from one or more common features of the structure associated with the fabricated instances of the structure within the field of view using the design data. The system may further generate one or more metrology measurements of the structure based on the design-assisted composite data.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/70 - Determining position or orientation of objects or cameras
  • G06T 7/13 - Edge detection
  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures

92.

SPECTROSCOPIC REFLECTOMETRY AND ELLIPSOMETRY MEASUREMENTS WITH ELECTROREFLECTANCE MODULATION

      
Application Number US2022045487
Publication Number 2023/059529
Status In Force
Filing Date 2022-10-03
Publication Date 2023-04-13
Owner KLA CORPORATION (USA)
Inventor
  • Mcgahan, William
  • Krishnan, Shankar

Abstract

Methods and systems for performing optical measurements of semiconductor structures while modulating both an electric field within one or more structures under measurement and the measurement light employed to measure the one or more structures are presented herein. Spectroscopic ellipsometry, spectroscopic reflectometry, and angle resolved spectroscopic reflectometry measurements are enhanced by modulation of the electric field of the structures under measurement. The modulation of the electric field changes the dielectric function of the materials under measurement. Measurements are performed with an enriched data set including measurement signals collected from one or more structures under time varying optical and electric field conditions. This reduces parameter correlation among floating measurement parameters and improves measurement accuracy. Differences between frequencies of optical modulation and electric field modulation increase the contrast within the one or more structures under measurement, which, in turn, increases measurement accuracy with reduced computational effort.

IPC Classes  ?

  • G01N 21/17 - Systems in which incident light is modified in accordance with the properties of the material investigated
  • G01N 21/21 - Polarisation-affecting properties
  • G01N 21/55 - Specular reflectivity
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01J 3/02 - Spectrometry; Spectrophotometry; Monochromators; Measuring colours - Details

93.

BANDPASS CHARGED PARTICLE ENERGY FILTERING DETECTOR FOR CHARGED PARTICLE TOOLS

      
Application Number US2022045736
Publication Number 2023/059686
Status In Force
Filing Date 2022-10-05
Publication Date 2023-04-13
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Youfei
  • Steigerwald, Michael

Abstract

Methods and systems for detecting charged particles from a specimen are provided. One system includes a first repelling mesh configured to repel charged particles from a specimen having an energy lower than a first predetermined energy and a second repelling mesh configured to repel the charged particles that pass through the first repelling mesh and have an energy that is lower than a second predetermined energy. The system also includes a first attracting mesh configured to attract the charged particles that pass through the first repelling mesh, are repelled by the second repelling mesh, and have an energy that is higher than the first predetermined energy and lower than the second predetermined energy. The system further includes a first detector configured to generate output responsive to the charged particles that pass through the first attracting mesh.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

94.

DEFECT DETECTION FOR MULTI-DIE MASKS

      
Application Number US2022044305
Publication Number 2023/055634
Status In Force
Filing Date 2022-09-22
Publication Date 2023-04-06
Owner KLA CORPORATION (USA)
Inventor
  • Gu, Wenfei
  • Chiang, Pei-Chun
  • Sousa, Weston

Abstract

Methods and systems for detecting defects on a mask are provided. One method includes generating a database reference image for a multi-die mask by simulation and detecting first defects on the mask by comparing the database reference image to images of the mask generated by an imaging subsystem for a first of the multiple dies. The method also includes generating a die reference image for the first of the multiple dies by applying one or more parameters of the imaging subsystem learned by generating the database reference image to the images generated by the imaging subsystem of one or more of the multiple dies other than the first multiple die. In addition, the method includes detecting second defects on the mask by comparing the die reference image to the images of the mask generated by the imaging subsystem for the first of the multiple dies.

IPC Classes  ?

95.

BANDWIDTH ADJUSTMENT FOR REMOTE CONTROL OF A MANUFACTURING TOOL

      
Application Number US2022044955
Publication Number 2023/055757
Status In Force
Filing Date 2022-09-28
Publication Date 2023-04-06
Owner KLA CORPORATION (USA)
Inventor Brain, Michael D.

Abstract

A method is performed at a computer system of a manufacturing tool in a manufacturing facility. The method includes sending a series of frames showing data for the manufacturing tool to a client device for display. The client device is remote from the manufacturing facility. The method further includes receiving, from the client device, an indication of a user interaction with the client device and, in response to the indication, adjusting a bandwidth for one or more frames of the series of frames. Sending the series of frames includes, after receiving the indication, transmitting the one or more frames to the client device for display. The one or more frames are transmitted with the adjusted bandwidth.

IPC Classes  ?

  • H04L 67/125 - Protocols specially adapted for proprietary or special-purpose networking environments, e.g. medical networks, sensor networks, networks in vehicles or remote metering networks involving control of end-device applications over a network
  • H04N 7/01 - Conversion of standards
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

96.

SYSTEMS AND METHODS OF CREATING MULTIPLE ELECTRON BEAMS

      
Application Number US2022043559
Publication Number 2023/055567
Status In Force
Filing Date 2022-09-15
Publication Date 2023-04-06
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Shriyan, Sameet K.
  • Grella, Luca
  • Cummings, Kevin
  • Sears, Christopher

Abstract

An electron-beam device includes upper-column electron optics and lower-column electron optics. The upper-column electron optics include an aperture array to divide an electron beam into a plurality of electron beamlets. The upper-column electron optics also include a lens array with a plurality of lenses to adjust the focus of the plurality of electron beamlets. Respective lenses of the plurality of lenses are to adjust the focus of respective electron beamlets of the plurality of electron beamlets. The upper-column electron optics further include a first global lens to adjust the focus of the plurality of electron beamlets in a manner opposite to the lens array

IPC Classes  ?

  • H01J 37/09 - Diaphragms; Shields associated with electron- or ion-optical arrangements; Compensation of disturbing fields
  • H01J 37/14 - Lenses magnetic
  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

97.

SEMICONDUCTOR PROFILE MEASUREMENT BASED ON A SCANNING CONDITIONAL MODEL

      
Application Number US2022043563
Publication Number 2023/043859
Status In Force
Filing Date 2022-09-15
Publication Date 2023-03-23
Owner KLA CORPORATION (USA)
Inventor Pandev, Stilian Ivanov

Abstract

Methods and systems for measuring semiconductor structures based on a trained scanning conditional measurement model are described herein. A scanning conditional model is trained based on Design Of Experiments (DOE) measurement data associated with known values of one or more parameters of interest and a set of perturbed values of the one or more parameters of interest. The trained conditional model minimizes the output of an error function characterizing the error between the known values of the perturbed values of the one or more parameters of interest for the given DOE measurement data. During inference, an error value associated with each candidate value of one or more parameters of interest is determined by the trained scanning conditional measurement model. The estimated value of the parameter of interest is the candidate value of the parameter of interest associated with the minimum error value.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 23/18 - Investigating the presence of defects or foreign matter
  • G06N 20/00 - Machine learning
  • H01L 21/66 - Testing or measuring during manufacture or treatment

98.

SHIELDING STRATEGY FOR MITIGATION OF STRAY FIELD FOR PERMANENT MAGNET ARRAY

      
Application Number US2022042574
Publication Number 2023/038871
Status In Force
Filing Date 2022-09-05
Publication Date 2023-03-16
Owner KLA CORPORATION (USA)
Inventor
  • Zhang, Qian
  • Lo, Wayne Chiwoei
  • Maurino, Joseph
  • Plettner, Tomas

Abstract

The present disclosure provides an inspection system and a method of stray field mitigation. The system includes an array of electron beam columns, a first permanent magnet array, and a plurality of shielding plates. The array of electron beam columns each includes an electron source configured to emit electrons toward a stage. The first permanent magnet array is configured to condense the electrons from each electron source into an array of electron beams. The first permanent magnet array is arranged at a first end of the array of electron beam columns. The plurality of shielding plates extend across the array electron beam columns downstream of the first permanent magnet array in a direction of electron emission. The array of electron beams pass through a plurality of apertures in each of the plurality of shielding plates, which reduces stray magnetic field in a radial direction of the array of electron beams.

IPC Classes  ?

  • G01N 23/225 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes
  • H01J 37/09 - Diaphragms; Shields associated with electron- or ion-optical arrangements; Compensation of disturbing fields
  • H01J 37/143 - Permanent magnetic lenses
  • H01L 21/66 - Testing or measuring during manufacture or treatment

99.

A METHOD FOR DETERMINING MATERIAL PARAMETERS OF A MULTILAYER TEST SAMPLE

      
Application Number US2022042977
Publication Number 2023/039115
Status In Force
Filing Date 2022-09-09
Publication Date 2023-03-16
Owner KLA CORPORATION (USA)
Inventor Cagliani, Alberto

Abstract

The multilayer test sample includes a stack with a bottom layer, a top layer, and a tunnel layer sandwiched between the bottom and top layers. The multilayer test sample has terminals below the stack for measuring on the stack. The terminals have unknown positions or distance between them. A model and a measurement strategy is defined so that material parameters of the stack may be determined.

IPC Classes  ?

  • G01N 27/04 - Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating impedance by investigating resistance
  • G01R 27/08 - Measuring resistance by measuring both voltage and current
  • G01R 27/14 - Measuring resistance by measuring current or voltage obtained from a reference source

100.

WAFER ALIGNMENT IMPROVEMENT THROUGH IMAGE PROJECTION-BASED PATCH-TO-DESIGN ALIGNMENT

      
Application Number US2021050766
Publication Number 2023/033842
Status In Force
Filing Date 2021-09-17
Publication Date 2023-03-09
Owner KLA CORPORATION (USA)
Inventor Brauer, Bjorn

Abstract

Image alignment or image-to-design alignment can be improved using normalized cross-correlation. A setup image to a runtime image are aligned and a normalized cross-correlation scores is determined. Image projections for the images can be determined and aligned in the perpendicular x and y directions. Alignment of the image projections can include finding projection peak locations and adjusting the projection peak locations in the x and y directions.

IPC Classes  ?

  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G06T 7/30 - Determination of transform parameters for the alignment of images, i.e. image registration
  1     2     3     ...     5        Next Page