KLA Corporation

United States of America

Back to Profile

1-100 of 1,223 for KLA Corporation Sort by
Query
Aggregations
IP Type
        Patent 1,128
        Trademark 95
Jurisdiction
        United States 738
        World 472
        Europe 13
Date
New (last 4 weeks) 29
2024 April (MTD) 24
2024 March 18
2024 February 20
2024 January 8
See more
IPC Class
H01L 21/66 - Testing or measuring during manufacture or treatment 263
G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined 243
G06T 7/00 - Image analysis 200
G03F 7/20 - Exposure; Apparatus therefor 198
G01N 21/88 - Investigating the presence of flaws, defects or contamination 194
See more
NICE Class
09 - Scientific and electric apparatus and instruments 94
42 - Scientific, technological and industrial services, research and design 8
16 - Paper, cardboard and goods made from these materials 1
Status
Pending 188
Registered / In Force 1,035
  1     2     3     ...     13        Next Page

1.

SYSTEM AND METHOD FOR VACUUM ULTRAVIOLET LAMP ASSISTED IGNITION OF OXYGEN-CONTAINING LASER SUSTAINED PLASMA SOURCES

      
Application Number 18535840
Status Pending
Filing Date 2023-12-11
First Publication Date 2024-04-25
Owner KLA Corporation (USA)
Inventor
  • Szilagyi, John
  • Bezel, Ilya

Abstract

An illumination system includes a gas containment vessel configured to contain a gas. The illumination system also includes one or more pump sources configured to generate one or more pump beams. The illumination system includes an ozone generation unit including one or more illumination sources. The one or more illumination sources are configured to generate a beam of illumination of an energy sufficient for converting a portion of diatomic oxygen (O2) contained within the gas containment vessel to triatomic oxygen (O3). One or more energy sources are configured to ignite the plasma within the gas contained within the gas containment vessel via absorption of energy of the one or more energy sources by a portion of the triatomic oxygen, wherein the plasma emits broadband radiation.

IPC Classes  ?

  • H05H 1/46 - Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
  • C01B 13/10 - Preparation of ozone
  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

2.

GAS FLOW CONFIGURATIONS FOR SEMICONDUCTOR INSPECTIONS

      
Application Number 18452457
Status Pending
Filing Date 2023-08-17
First Publication Date 2024-04-25
Owner KLA Corporation (USA)
Inventor
  • Wang, Chunhai
  • Zhao, Guoheng
  • Romanovsky, Anatoly
  • Hao, Yihua
  • Ji, Monica

Abstract

Methods and systems for inspecting a specimen are provided. One system includes an inspection subsystem configured for directing light to an area on the specimen and for generating output responsive to light from the area on the specimen. The system also includes a first gas flow subsystem configured for replacing a gas in a first local volume surrounding the area on the specimen with a first medium that scatters less of the light than the gas. In addition, the system includes a second gas flow subsystem configured for replacing the gas in a second local volume proximate the first local volume with a second medium different than the first medium. The system further includes a computer subsystem configured for detecting abnormalities on the specimen based on the output.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

3.

NON-REAGENT CHLORIDE ANALYSIS IN ACID COPPER PLATING BATHS

      
Application Number US2023035066
Publication Number 2024/086059
Status In Force
Filing Date 2023-10-13
Publication Date 2024-04-25
Owner KLA CORPORATION (USA)
Inventor
  • Wang, Jingjing
  • Saitta, Patrick
  • Shalyt, Eugene

Abstract

The disclosed subject matter relates to techniques for methods and systems for non-reagent chloride analysis in an acid copper plating bath, using a blend of VMS (Virgin Makeup Solution) to generate Ag+-containing solution as a titration into a sample.

IPC Classes  ?

4.

PLASMA HYPERMODEL INTEGRATED WITH FEATURE-SCALE PROFILE MODEL FOR ACCELERATED ETCH PROCESS DEVELOPMENT

      
Application Number US2023034713
Publication Number 2024/081176
Status In Force
Filing Date 2023-10-09
Publication Date 2024-04-18
Owner KLA CORPORATION (USA)
Inventor
  • Huard, Chad
  • Panneerchelvam, Premkumar
  • Huang, Shuo
  • Smith, Mark D.

Abstract

Plasma parameters at a surface of a wafer are determined with a plasma hypermodel based on plasma processing conditions. A post-processing profile can be predicted for the surface of the wafer with a feature-scale profile model. Correlations in the plasma hypermodel can be recalibrated if the post-processing profile is outside a convergence criterion of an experimental reference.

IPC Classes  ?

5.

SYSTEMS AND METHODS FOR GENERATING A FLAT-TOP ILLUMINATION BEAM BASED ON INTERLACING, INCOHERENTLY OVERLAPPING SPOTS

      
Application Number 18369609
Status Pending
Filing Date 2023-09-18
First Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor Lee, Chun Shen

Abstract

A flat-top beam generating system may include a beamsplitting apparatus including one or more beamsplitters to split an input beam into three or more sub-beams that propagate along optical paths with different optical path lengths. The system may further include a diffractive optical element (DOE) to diffract the three or more sub-beams into a plurality of diffracted sub-beams. The system may further include one or more optical elements configured to collect the plurality of diffracted sub-beams to provide a flat-top beam.

IPC Classes  ?

  • G02B 27/42 - Diffraction optics
  • G02B 27/10 - Beam splitting or combining systems
  • G02B 27/12 - Beam splitting or combining systems operating by refraction only

6.

IMAGE PRE-PROCESSING FOR OVERLAY METROLOGY USING DECOMPOSITION TECHNIQUES

      
Application Number 18371853
Status Pending
Filing Date 2023-09-22
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir

Abstract

A system may include a controller for receiving one or more images of a metrology target including periodic features with one or more known pitches, pre-processing the one or more images using a decomposition technique to generate one or more pre-processed images, and generating one or more metrology measurements for the metrology target based on the one or more pre-processed images. Pre-processing a particular image of the one or more images may include constructing one or more trajectory matrices from the particular image, generating reconstruction components associated with the particular image from the one or more trajectory matrices using the decomposition technique, and generating a particular one of the one or more pre-processed images by based on a subset of the reconstruction components including signals with at least one of the one or more known pitches.

IPC Classes  ?

  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods
  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/00 - Image analysis

7.

PLASMA HYPERMODEL INTEGRATED WITH FEATURE-SCALE PROFILE MODEL FOR ACCELERATED ETCH PROCESS DEVELOPMENT

      
Application Number 17982472
Status Pending
Filing Date 2022-11-07
First Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor
  • Huard, Chad
  • Panneerchelvam, Premkumar
  • Huang, Shuo
  • Smith, Mark D.

Abstract

Plasma parameters at a surface of a wafer are determined with a plasma hypermodel based on plasma processing conditions. A post-processing profile can be predicted for the surface of the wafer with a feature-scale profile model. Correlations in the plasma hypermodel can be recalibrated if the post-processing profile is outside a convergence criterion of an experimental reference.

IPC Classes  ?

8.

CORRECTING TARGET LOCATIONS FOR TEMPERATURE IN SEMICONDUCTOR APPLICATIONS

      
Application Number 17959008
Status Pending
Filing Date 2022-10-03
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Moon, Min-Yeong
  • Rachinayani, Phalguna Kumar
  • Perrin, Jean-Christophe
  • Pandev, Stilian

Abstract

Methods and systems for determining information for a specimen are provided. One system includes an output acquisition subsystem configured to generate output for a specimen at one or more target locations on the specimen and one or more temperature sensors configured to measure one or more temperatures within the system. The system also includes a deep learning model configured for predicting error in at least one of the one or more target locations based on at least one of the one or more measured temperatures input to the deep learning model by the computer subsystem. The computer subsystem is configured for determining a corrected target location for the at least one of the one or more target locations by applying the predicted error to the at least one of the one or more target locations.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

9.

Magnetically Opposed, Iron Core Linear Motor Based Motion Stages For Semiconductor Wafer Positioning

      
Application Number 18375920
Status Pending
Filing Date 2023-10-02
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Pharand, Michel
  • Doll, Stephen
  • Nayfeh, Samir

Abstract

Methods and systems for realizing a high throughput wafer positioning system with high positioning accuracy are presented herein. The high throughput, high accuracy wafer positioning system is employed to measure structural and material characteristics (e.g., material composition, dimensional characteristics of structures and films, etc.) associated with different semiconductor fabrication processes. In one aspect, iron core linear motor assemblies are arranged in a magnetically opposed configuration such that the magnetic attraction forces inherent to each opposing iron core linear motor assembly largely cancel one another. The reduced force applied to sensitive stage frame elements, in turn, reduces induced deformations and stage positioning errors. In some embodiments, a wafer positioning system includes stacked magnetically opposed long stroke stages. In some of these embodiments, both magnetically opposed long stroke stages employ magnet tracks mechanically coupled to the intermediate frame of the stacked stage assembly.

IPC Classes  ?

  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • H02K 3/47 - Air-gap windings, i.e. iron-free windings
  • H02K 7/09 - Structural association with bearings with magnetic bearings
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors

10.

IMPROVED TARGETS FOR DIFFRACTION-BASED OVERLAY ERROR METROLOGY

      
Application Number 17923471
Status Pending
Filing Date 2022-10-06
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Negri, Daria
  • Hajaj, Eitan
  • Levinski, Vladimir

Abstract

A method for semiconductor metrology includes depositing first and second overlying film layers on a semiconductor substrate and patterning the layers to define an overlay target. The target includes a first grating pattern in the first layer, including at least a first linear grating oriented in a first direction and at least a second linear grating oriented in a second direction perpendicular to the first direction, and a second grating pattern in the second layer, including at least a third linear grating identical to the first linear grating and a fourth linear grating identical to the second linear grating. The second grating pattern has a nominal offset relative to the first grating pattern by first and second displacements in the first and second directions, respectively. A scatterometric image of the substrate is captured and processed to estimate an overlay error between the patterning of the first and second layers.

IPC Classes  ?

  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales 
  • H01L 21/66 - Testing or measuring during manufacture or treatment

11.

CORRECTING TARGET LOCATIONS FOR TEMPERATURE IN SEMICONDUCTOR APPLICATIONS

      
Application Number US2023034061
Publication Number 2024/076495
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor
  • Moon, Min-Yeong
  • Rachinayani, Phalguna
  • Perrin, Jean-Christophe
  • Pandev, Stilian Ivanov

Abstract

Methods and systems for determining information for a specimen are provided. One system includes an output acquisition subsystem configured to generate output for a specimen at one or more target locations on the specimen and one or more temperature sensors configured to measure one or more temperatures within the system. The system also includes a deep learning model configured for predicting error in at least one of the one or more target locations based on at least one of the one or more measured temperatures input to the deep learning model by the computer subsystem. The computer subsystem is configured for determining a corrected target location for the at least one of the one or more target locations by applying the predicted error to the at least one of the one or more target locations.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06N 3/08 - Learning methods

12.

MAGNETICALLY OPPOSED, IRON CORE LINEAR MOTOR BASED MOTION STAGES FOR SEMICONDUCTOR WAFER POSITIONING

      
Application Number US2023034413
Publication Number 2024/076599
Status In Force
Filing Date 2023-10-04
Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor
  • Pharand, Michel
  • Doll, Stephen
  • Nayfeh, Samir

Abstract

Methods and systems for realizing a high throughput wafer positioning system with high positioning accuracy are presented herein. The high throughput, high accuracy wafer positioning system is employed to measure structural and material characteristics (e.g., material composition, dimensional characteristics of structures and films, etc.) associated with different semiconductor fabrication processes. In one aspect, iron core linear motor assemblies are arranged in a magnetically opposed configuration such that the magnetic attraction forces inherent to each opposing iron core linear motor assembly largely cancel one another. The reduced force applied to sensitive stage frame elements, in turn, reduces induced deformations and stage positioning errors. In some embodiments, a wafer positioning system includes stacked magnetically opposed long stroke stages. In some of these embodiments, both magnetically opposed long stroke stages employ magnet tracks mechanically coupled to the intermediate frame of the stacked stage assembly.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H01L 21/66 - Testing or measuring during manufacture or treatment

13.

SYSTEMS AND METHODS FOR GENERATING A FLAT-TOP ILLUMINATION BEAM BASED ON INTERLACING, INCOHERENTLY OVERLAPPING SPOTS

      
Application Number US2023034496
Publication Number 2024/076653
Status In Force
Filing Date 2023-10-05
Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor Lee, Chun Shen

Abstract

A flat-top beam generating system may include a beamsplitting apparatus including one or more beamsplitters to split an input beam into three or more sub-beams that propagate along optical paths with different optical path lengths. The system may further include a diffractive optical element (DOE) to diffract the three or more sub-beams into a plurality of diffracted sub-beams. The system may further include one or more optical elements configured to collect the plurality of diffracted sub-beams to provide a flat-top beam.

IPC Classes  ?

  • H01S 3/10 - Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
  • H01S 3/08 - Construction or shape of optical resonators or components thereof
  • G02B 27/09 - Beam shaping, e.g. changing the cross-sectioned area, not otherwise provided for

14.

FRICTIONLESS DESIGN OF HIGH-PRESSURE RECIRCULATION THERMO-PUMP

      
Application Number 18368242
Status Pending
Filing Date 2023-09-14
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor Shchemelinin, Anatoly

Abstract

A thermo-pump includes a sealed casing, divided into a main casing volume and one or more secondary volumes. A thermo-pump includes a shaft. A thermo-pump includes a displacer, coupled to the shaft and oscillates to create a pressure gain between a high-pressure phase and a low-pressure phase. A thermo-pump includes one or more displacer rings, wherein the displacer rings are made from a material with thermal properties below a threshold. A thermo-pump includes an insert, wherein the insert is configured to form a perimeter of the main casing volume, wherein the insert is made from a material with thermal properties below the threshold. A thermo-pump includes one or more bushings, wherein the one or more bushing separate the main casing volume and the one or more secondary volumes. A thermo-pump includes one or more gas bearings configured to prevent contact between the shaft and the sealed casing.

IPC Classes  ?

  • F03G 7/06 - Mechanical-power-producing mechanisms, not otherwise provided for or using energy sources not otherwise provided for using expansion or contraction of bodies due to heating, cooling, moistening, drying, or the like
  • H01J 61/28 - Means for producing, introducing, or replenishing gas or vapour during operation of the lamp

15.

DISTORTION REDUCTION IN A MULTI-BEAM IMAGING SYSTEM

      
Application Number 17955252
Status Pending
Filing Date 2022-09-28
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor
  • Cook, Michael I.
  • Shriyan, Sameet K.
  • Dowling, David

Abstract

A system may include a controller couplable to an imaging sub-system utilizing multiple particle beams, where the imaging sub-system includes one or more control elements to manipulate the two or more particle beams that are adjustable with two or more control parameters. The controller may select values of the two or more control parameters by iteratively performing steps until one or more termination conditions are met. For example, the controller may receive measurements of changes of distortions of the particle beams resulting from individual adjustments of the control parameters. The controller may further calculate values of the control parameters that reduce the distortions of the particle beams based on the changes of the distortions resulting from the individual adjustments. The controller may further direct the adjustment of the values of the control parameters to the calculated values.

IPC Classes  ?

  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/244 - Detectors; Associated components or circuits therefor

16.

DISTORTION REDUCTION IN A MULTI-BEAM IMAGING SYSTEM

      
Application Number US2023032812
Publication Number 2024/072642
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Cook, Michael
  • Shriyan, Sameet K.
  • Dowling, David

Abstract

A system may include a controller couplable to an imaging sub-system utilizing multiple particle beams, where the imaging sub-system includes one or more control elements to manipulate the two or more particle beams that are adjustable with two or more control parameters. The controller may select values of the two or more control parameters by iteratively performing steps until one or more termination conditions are met. For example, the controller may receive measurements of changes of distortions of the particle beams resulting from individual adjustments of the control parameters. The controller may further calculate values of the control parameters that reduce the distortions of the particle beams based on the changes of the distortions resulting from the individual adjustments. The controller may further direct the adjustment of the values of the control parameters to the calculated values.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]

17.

CALIBRATION OF PARAMETRIC MEASUREMENT MODELS BASED ON IN-LINE WAFER MEASUREMENT DATA

      
Application Number US2023033132
Publication Number 2024/072660
Status In Force
Filing Date 2023-09-19
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Lin, Brian C.
  • Wu, David
  • Wu, Song
  • Zhan, Tianrong
  • Chiu, Emily
  • Lagodzinski, Andrew

Abstract

Methods and systems for calibrating simulated measurement signals generated by a parametric measurement model are described herein. Regression on real measurement signals is performed using a parametric model. The residual fitting error between the real measurement signals and simulated measurement signals generated by the parametric model characterizes the error of the parametric model at each set of estimated values of the one or more floating parameters. Simulated measurement signals are generated by the parametric model at specified values of the floating parameters. A residual fitting error associated with the simulated measurement signals generated at the specified values of the floating parameters is derived from the residual fitting errors calculated by the regression on the real measurement signals. The simulated measurement signals are calibrated by adding the residual fitting error to the uncalibrated, simulated measurement signals. The calibrated, simulated measurement signals improve the accuracy of measurements and measurement recipe development.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/25 - Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • H01L 21/66 - Testing or measuring during manufacture or treatment

18.

FRICTIONLESS DESIGN OF HIGH-PRESSURE RECIRCULATION THERMO-PUMP

      
Application Number US2023033318
Publication Number 2024/072684
Status In Force
Filing Date 2023-09-21
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor Shchemelinin, Anatoly

Abstract

A thermo-pump includes a sealed casing, divided into a main casing volume and one or more secondary volumes. A thermo-pump includes a shaft. A thermo-pump includes a displacer, coupled to the shaft and oscillates to create a pressure gain between a high-pressure phase and a low-pressure phase. A thermo-pump includes one or more displacer rings, wherein the displacer rings are made from a material with thermal properties below a threshold. A thermo-pump includes an insert, wherein the insert is configured to form a perimeter of the main casing volume, wherein the insert is made from a material with thermal properties below the threshold. A thermo-pump includes one or more bushings, wherein the one or more bushing separate the main casing volume and the one or more secondary volumes. A thermo-pump includes one or more gas bearings configured to prevent contact between the shaft and the sealed casing.

IPC Classes  ?

  • F25B 9/14 - Compression machines, plants or systems, in which the refrigerant is air or other gas of low boiling point characterised by the cycle used, e.g. Stirling cycle
  • H01J 61/52 - Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space
  • H01J 61/28 - Means for producing, introducing, or replenishing gas or vapour during operation of the lamp
  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating

19.

PULSE-ASSISTED LASER-SUSTAINED PLASMA IN FLOWING HIGH-PRESSSURE LIQUIDS

      
Application Number US2023033670
Publication Number 2024/072774
Status In Force
Filing Date 2023-09-26
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Bezel, Ilya
  • Khodykin, Oleg
  • Szilagyi, John

Abstract

A pulse-assisted LSP broadband light source in flowing high-pressure liquid or supercritical fluid is disclosed. The light source includes a fluid containment structure for containing a high-pressure liquid or supercritical fluid. The light source includes a primary laser pump source and a high-repetition pulse-assisting laser light source. wherein the primary laser pump source is configured to direct a primary pump beam into a plasma-forming region of the fluid. The primary beam and the pulsed-assisting beam are configured to sustain a plasma within the plasma-forming region of the fluid within the fluid containment structure. A light collector element is configured to collect broadband light emitted from the plasma for use in downstream applications.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

20.

MOSAIC OVERLAY TARGETS

      
Application Number US2023033679
Publication Number 2024/072779
Status In Force
Filing Date 2023-09-26
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor Feler, Yoel

Abstract

A mosaic overlay target may include two or more cell sets distributed across a sample, wherein each cell set includes one or more cells, where each cell set is oriented to have at least one of mirror symmetry with respect to a central axis of the mosaic overlay target or rotational symmetry with respect to a central point of the mosaic overlay target. The cell sets may be configured according to a metrology recipe such that images of the mosaic overlay target generated based on the metrology recipe include metrology data suitable for two or more overlay measurements. A particular one of the overlay measurements may be based on portions of the images associated with at least one of the cell sets. At least two of the two or more overlay measurements may be alternative measurements of a common property of the sample.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

21.

INSPECTION OF ADAPTIVE PATTERNED WORKPIECES WITH DYNAMIC DESIGN AND DEEP LEARNING-BASED RENDERING

      
Application Number US2023034060
Publication Number 2024/073015
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Perali, Pavan Kumar
  • Muthukrishnan, Sankar
  • Bhatt, Hemang
  • Sahadevareddy, Adithya Swaroop

Abstract

A reference optical image of a die is determined based on a design file with a deep convolutional neural network for image-to-image translation. The reference optical image is subtracted from the target image thereby generating a difference image. After applying a care area mask, the difference image can be binarized. The resulting binarized defective image can be used for optical inspection.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G06T 7/00 - Image analysis
  • G06N 3/0464 - Convolutional networks [CNN, ConvNet]
  • G06N 3/08 - Learning methods
  • H01L 21/66 - Testing or measuring during manufacture or treatment

22.

MOSAIC OVERLAY TARGETS

      
Application Number 18131163
Status Pending
Filing Date 2023-04-05
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor Feler, Yoel

Abstract

A mosaic overlay target may include two or more cell sets distributed across a sample, wherein each cell set includes one or more cells, where each cell set is oriented to have at least one of mirror symmetry with respect to a central axis of the mosaic overlay target or rotational symmetry with respect to a central point of the mosaic overlay target. The cell sets may be configured according to a metrology recipe such that images of the mosaic overlay target generated based on the metrology recipe include metrology data suitable for two or more overlay measurements. A particular one of the overlay measurements may be based on portions of the images associated with at least one of the cell sets. At least two of the two or more overlay measurements may be alternative measurements of a common property of the sample.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures

23.

INSPECTION OF ADAPTIVE PATTERNED WORKPIECES WITH DYNAMIC DESIGN AND DEEP LEARNING-BASED RENDERING

      
Application Number 18374106
Status Pending
Filing Date 2023-09-28
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor
  • Perali, Pavan Kumar
  • Muthukrishnan, Sankar
  • Bhatt, Hemang
  • Sahadevareddy, Adithya Swaroop

Abstract

A reference optical image of a die is determined based on a design file with a deep convolutional neural network for image-to-image translation. The reference optical image is subtracted from the target image thereby generating a difference image. After applying a care area mask, the difference image can be binarized. The resulting binarized defective image can be used for optical inspection.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 5/50 - Image enhancement or restoration by the use of more than one image, e.g. averaging, subtraction
  • G06V 10/24 - Aligning, centring, orientation detection or correction of the image

24.

IMAGE PRE-PROCESSING FOR OVERLAY METROLOGY USING DECOMPOSITION TECHNIQUES

      
Application Number US2023033656
Publication Number 2024/072766
Status In Force
Filing Date 2023-09-26
Publication Date 2024-04-04
Owner KLA CORPORATION (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir

Abstract

A system may include a controller for receiving one or more images of a metrology target including periodic features with one or more known pitches, pre-processing the one or more images using a decomposition technique to generate one or more pre-processed images, and generating one or more metrology measurements for the metrology target based on the one or more pre-processed images. Pre-processing a particular image of the one or more images may include constructing one or more trajectory matrices from the particular image, generating reconstruction components associated with the particular image from the one or more trajectory matrices using the decomposition technique, and generating a particular one of the one or more pre-processed images by based on a subset of the reconstruction components including signals with at least one of the one or more known pitches.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

25.

PULSE-ASSISTED LASER-SUSTAINED PLASMA IN FLOWING HIGH-PRESSURE LIQUIDS

      
Application Number 18372590
Status Pending
Filing Date 2023-09-25
First Publication Date 2024-03-28
Owner KLA Corporation (USA)
Inventor
  • Bezel, Ilya
  • Khodykin, Oleg
  • Szilagyi, John

Abstract

A pulse-assisted LSP broadband light source in flowing high-pressure liquid or supercritical fluid is disclosed. The light source includes a fluid containment structure for containing a high-pressure liquid or supercritical fluid. The light source includes a primary laser pump source and a high-repetition pulse-assisting laser light source. wherein the primary laser pump source is configured to direct a primary pump beam into a plasma-forming region of the fluid. The primary beam and the pulsed-assisting beam are configured to sustain a plasma within the plasma-forming region of the fluid within the fluid containment structure. A light collector element is configured to collect broadband light emitted from the plasma for use in downstream applications.

IPC Classes  ?

  • H01J 61/02 - Gas-discharge or vapour-discharge lamps - Details
  • H01J 61/16 - Selection of substances for gas fillings; Specified operating pressure or temperature having helium, argon, neon, krypton, or xenon as the principle constituent
  • H01J 61/52 - Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space
  • H01S 3/094 - Processes or apparatus for excitation, e.g. pumping using optical pumping by coherent light

26.

WATER COOLED, AIR BEARING BASED ROTATING ANODE X-RAY ILLUMINATION SOURCE

      
Application Number US2023032449
Publication Number 2024/063976
Status In Force
Filing Date 2023-09-12
Publication Date 2024-03-28
Owner KLA CORPORATION (USA)
Inventor Pharand, Michel

Abstract

Methods and systems for realizing a high speed, rotating anode based x-ray illumination source suitable for high throughput x-ray metrology are presented herein. A high speed rotating anode includes a water cooled rotating platen supported by radial and thrust air bearings employing cascaded differential pumping. A very high bending stiffness of the rotating assembly is achieved by spacing radial air bearings far apart and locating a rotary motor and thrust bearings between the radial air bearings. The high bending stiffness increases the mechanical stability of the rotating assembly during high speed operation, and thus decreases vibration at the location of impingement of the electron beam on the rotating anode material. In some embodiments, magnetic thrust bearings are employed and the air gap is controlled to maintain a desired gap over an operational range of up to three millimeters.

IPC Classes  ?

  • H01J 35/10 - Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes

27.

HIGH-RESOLUTION EVALUATION OF OPTICAL METROLOGY TARGETS FOR PROCESS CONTROL

      
Application Number US2023032451
Publication Number 2024/063977
Status In Force
Filing Date 2023-09-12
Publication Date 2024-03-28
Owner KLA CORPORATION (USA)
Inventor
  • Gutman, Nadav
  • Klein, Dana
  • Czerkas, Slawomir
  • Simon, Yossi
  • Laske, Frank
  • Wittkoetter, Mirko

Abstract

A metrology system may include an optical metrology sub-system to generate optical metrology measurements of optical metrology based on features of the optical metrology targets associated with at least one optical pitch and an additional metrology sub-system to generate additional metrology measurements of the optical metrology targets, where the additional metrology measurements have a higher resolution than the optical metrology measurements, and where the additional metrology sub-system further measures deviations of the optical metrology targets from a reference design. The system may further include a controller to generate accuracy measurements for the optical metrology targets based on the measurements, identify variations of a lithography process based on the deviations, correlate the accuracy measurements to the variations, and adjust at least one of the optical metrology sub-system, a lithography tool, or the reference design based on the correlations.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G03F 7/20 - Exposure; Apparatus therefor

28.

Calibration Of Parametric Measurement Models Based On In-Line Wafer Measurement Data

      
Application Number 18244749
Status Pending
Filing Date 2023-09-11
First Publication Date 2024-03-28
Owner KLA Corporation (USA)
Inventor
  • Lin, Brian C.
  • Wu, David
  • Wu, Song
  • Zhan, Tianrong
  • Chiu, Emily
  • Lagodzinski, Andrew

Abstract

Methods and systems for calibrating simulated measurement signals generated by a parametric measurement model are described herein. Regression on real measurement signals is performed using a parametric model. The residual fitting error between the real measurement signals and simulated measurement signals generated by the parametric model characterizes the error of the parametric model at each set of estimated values of the one or more floating parameters. Simulated measurement signals are generated by the parametric model at specified values of the floating parameters. A residual fitting error associated with the simulated measurement signals generated at the specified values of the floating parameters is derived from the residual fitting errors calculated by the regression on the real measurement signals. The simulated measurement signals are calibrated by adding the residual fitting error to the uncalibrated, simulated measurement signals. The calibrated, simulated measurement signals improve the accuracy of measurements and measurement recipe development.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/31 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
  • G01N 21/47 - Scattering, i.e. diffuse reflection

29.

Water cooled, air bearing based rotating anode x-ray illumination source

      
Application Number 17950768
Grant Number 11955308
Status In Force
Filing Date 2022-09-22
First Publication Date 2024-03-28
Grant Date 2024-04-09
Owner KLA Corporation (USA)
Inventor Pharand, Michel

Abstract

Methods and systems for realizing a high speed, rotating anode based x-ray illumination source suitable for high throughput x-ray metrology are presented herein. A high speed rotating anode includes a water cooled rotating platen supported by radial and thrust air bearings employing cascaded differential pumping. A very high bending stiffness of the rotating assembly is achieved by spacing radial air bearings far apart and locating a rotary motor and thrust bearings between the radial air bearings. The high bending stiffness increases the mechanical stability of the rotating assembly during high speed operation, and thus decreases vibration at the location of impingement of the electron beam on the rotating anode material. In some embodiments, magnetic thrust bearings are employed and the air gap is controlled to maintain a desired gap over an operational range of up to three millimeters.

IPC Classes  ?

  • H01J 35/10 - Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
  • F16C 33/10 - Construction relative to lubrication

30.

DEEP LEARNING MODEL-BASED ALIGNMENT FOR SEMICONDUCTOR APPLICATIONS

      
Application Number 18178528
Status Pending
Filing Date 2023-03-05
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Chen, Hong
  • Fan, Ziqi
  • Wallingford, Richard
  • Li, Xiaochun
  • Park, Sangbong

Abstract

Methods and systems for deep learning alignment for semiconductor applications are provided. One method includes transforming design information for an alignment target on a specimen to a predicted image of the alignment target by inputting the design information into a deep learning model and aligning the predicted image to an image of the alignment target on the specimen generated by an imaging subsystem. The method also includes determining an offset between the predicted image and the image generated by the imaging subsystem based on results of the aligning and storing the determined offset as an align-to-design offset for use in a process performed on the specimen with the imaging subsystem.

IPC Classes  ?

  • G06T 7/30 - Determination of transform parameters for the alignment of images, i.e. image registration
  • G06T 7/00 - Image analysis

31.

SYSTEM AND METHOD FOR ACQUIRING ALIGNMENT MEASUREMENTS OF STRUCTURES OF A BONDED SAMPLE

      
Application Number 17946935
Status Pending
Filing Date 2022-09-16
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Shuall, Nimrod
  • Pio, Jordan
  • Laske, Frank
  • Eyring, Stefan
  • Bachar, Ohad

Abstract

Systems and methods for acquiring measurements of structures of a bonded sample are disclosed. Such systems and methods may include determining a first registration measurement of a first registration structure and a first interface target structure of a first sample, and a second registration measurement of a second sample prior to coupling the samples together. Such systems and methods may include, after such a coupling of the samples, determining a third registration measurement of the coupled sample at least partially by measuring the first registration structure through the top face of the first sample. Such systems and methods may include acquiring an overlay measurement based on the first registration measurement, the second registration measurement, and the third registration measurement. Such systems and methods may include adjusting an inter-sample coupling recipe based on the overlay measurement, where the inter-sample coupling recipe may include a final bonding recipe.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 15/00 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons

32.

CONFOCAL CHROMATIC METROLOGY FOR EUV SOURCE CONDITION MONITORING

      
Application Number US2023031802
Publication Number 2024/058948
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Tae, Patrick
  • Su, Caijun
  • Jagannath, Ravichandra
  • Ahr, Brian

Abstract

A light source includes a rotatable drum to be coated with xenon ice and illuminated by a laser beam to produce a plasma. The drum may also be translatable. The light source further includes a confocal chromatic sensor to measure distances from the confocal chromatic sensor to the rotatable drum. The confocal chromatic sensor may include a sensor head to focus light onto the rotatable drum and to detect reflected light from the rotatable drum. The sensor head and the rotatable drum may be disposed within a vacuum chamber.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

33.

SYSTEM AND METHOD FOR ACQUIRING ALIGNMENT MEASUREMENTS OF STRUCTURES OF A BONDED SAMPLE

      
Application Number US2023032022
Publication Number 2024/058954
Status In Force
Filing Date 2023-09-06
Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Shuall, Nimrod
  • Pio, Jordan
  • Laske, Frank
  • Eyring, Stefan
  • Bachar, Ohad

Abstract

Systems and methods for acquiring measurements of structures of a bonded sample are disclosed. Such systems and methods may include determining a first registration measurement of a first registration structure and a first interface target structure of a first sample, and a second registration measurement of a second sample prior to coupling the samples together. Such systems and methods may include, after such a coupling of the samples, determining a third registration measurement of the coupled sample at least partially by measuring the first registration structure through the top face of the first sample. Such systems and methods may include acquiring an overlay measurement based on the first registration measurement, the second registration measurement, and the third registration measurement. Such systems and methods may include adjusting an inter-sample coupling recipe based on the overlay measurement, where the inter-sample coupling recipe may include a final bonding recipe.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

34.

SYSTEM AND METHOD FOR DETERMINING POST BONDING OVERLAY

      
Application Number 18520244
Status Pending
Filing Date 2023-11-27
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Zach, Franz
  • Smith, Mark D.
  • Shen, Xiaomeng
  • Saito, Jason
  • Owen, David

Abstract

A wafer shape metrology system includes a wafer shape metrology sub-system configured to perform one or more stress-free shape measurements on a first wafer, a second wafer, and a post-bonding pair of the first and second wafers. The wafer shape metrology system includes a controller communicatively coupled to the wafer shape metrology sub-system. The controller is configured to receive stress-free shape measurements from the wafer shape sub-system; predict overlay between one or more features on the first wafer and the second wafer based on the stress-free shape measurements of the first wafer, the second wafer, and the post-bonding pair of the first wafer and the second wafer; and provide a feedback adjustment to one or more process tools based on the predicted overlay. Additionally, feedforward and feedback adjustments may be provided to one or more process tools.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 9/02017 - Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations
  • G01B 11/16 - Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge
  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

35.

METHOD AND SYSTEM OF IMAGE-FORMING MULTI-ELECTRON BEAMS

      
Application Number 17829230
Status Pending
Filing Date 2022-05-31
First Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Sears, Christopher
  • Jiang, Youfei
  • Shriyan, Sameet K.
  • Lee, Jeong Ho
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

A multi-electron beam system that forms hundreds of beamlets can focus the beamlets, reduce Coulomb interaction effects, and improve resolutions of the beamlets. A Wien filter with electrostatic and magnetic deflection fields can separate the secondary electron beams from the 5 primary electron beams and can correct the astigmatism and source energy dispersion blurs for all the beamlets simultaneously.

IPC Classes  ?

  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

36.

DYNAMIC CORRECTION FOR AN ACOUSTO-OPTIC DEFLECTOR

      
Application Number 17903734
Status Pending
Filing Date 2022-09-06
First Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Peled, Itay
  • Linden, John

Abstract

An optical scanner may include a sampler to receive an optical beam and provide a sampled beam including a portion of the optical beam, a dispersive element to spectrally disperse the sampled beam along a dispersion direction, one or more detectors to receive at least a portion of the sampled beam dispersed along the dispersion direction, one or more acousto-optic deflectors (AODs) configured to deflect the optical beam from the sampler, and a controller. The controller may determine a center of mass of the sampled beam dispersed along the dispersion direction based on signals from at least one of the one or more detectors, and generate a drive signal for at least one of the one or more AODs to deflect the optical beam from the sampler along a selected deflection angle based on the center of mass.

IPC Classes  ?

  • G02F 1/33 - Acousto-optical deflection devices
  • G01J 1/42 - Photometry, e.g. photographic exposure meter using electric radiation detectors

37.

HIGH-RESOLUTION EVALUATION OF OPTICAL METROLOGY TARGETS FOR PROCESS CONTROL

      
Application Number 17948151
Status Pending
Filing Date 2022-09-19
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Gutman, Nadav
  • Klein, Dana
  • Czerkas, Slawomir
  • Simon, Yossi
  • Laske, Frank
  • Wittkoetter, Mirko

Abstract

A metrology system may include an optical metrology sub-system to generate optical metrology measurements of optical metrology based on features of the optical metrology targets associated with at least one optical pitch and an additional metrology sub-system to generate additional metrology measurements of the optical metrology targets, where the additional metrology measurements have a higher resolution than the optical metrology measurements, and where the additional metrology sub-system further measures deviations of the optical metrology targets from a reference design. The system may further include a controller to generate accuracy measurements for the optical metrology targets based on the measurements, identify variations of a lithography process based on the deviations, correlate the accuracy measurements to the variations, and adjust at least one of the optical metrology sub-system, a lithography tool, or the reference design based on the correlations.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

38.

AUTO-FOCUS SENSOR IMPLEMENTATION FOR MULTI-COLUMN MICROSCOPES

      
Application Number US2023031799
Publication Number 2024/054405
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-14
Owner KLA CORPORATION (USA)
Inventor
  • Petrone, Nicholas
  • Muray, Lawrence
  • Brodie, Alan

Abstract

An array of localized auto-focus sensors provides direct measurement of the working distance between each microscope column in the array and the substrate being imaged below. The auto-focus sensors measure the working distance between each column and the imaging substrate as it passes over a point on the substrate to be imaged. The working distance measurement from the sensors is input into a control system, which in turn outputs the required working distance adjustment to the microscope column. The control system independently adjusts microscope working distance and/or physical distance of an individual microscope column in a multi-column microscope based on auto-focus sensor input. The individual microscope columns in the multi-column microscope can also be used as the auto-focus sensor itself.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

39.

Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures

      
Application Number 18136739
Status Pending
Filing Date 2023-04-19
First Publication Date 2024-03-14
Owner KLA Corporation (USA)
Inventor
  • Hench, John
  • Krishna, Akshay
  • Liman, Christopher
  • Smith, Jeremy
  • Yin, Liang
  • Park, Hyowon
  • Wang, Tianhan
  • Chen, Boxue

Abstract

Methods and systems for performing model-less measurements of semiconductor structures based on scatterometry measurement data are described herein. Scatterometry measurement data is processed directly, without the use of a traditional measurement model. Measurement sensitivity is defined by the changes in detected diffraction images at one or more non-zero diffraction orders over at least two different illumination incidence angles. Discrete values of a scalar function are determined directly from measured images at each incidence angle. A continuous mathematical function is fit to the set of discrete values of the scalar function determined at each incidence angle. A value of a parameter of interest is determined based on analysis of the mathematical function. In some embodiments, the scalar function includes a weighting function, and the weighting values associated with weighting function are optimized to yield an accurate fit of the mathematical function to the scalar values.

IPC Classes  ?

  • G01N 21/47 - Scattering, i.e. diffuse reflection

40.

Confocal Chromatic Metrology for EUV Source Condition Monitoring

      
Application Number 17944715
Status Pending
Filing Date 2022-09-14
First Publication Date 2024-03-14
Owner KLA Corporation (USA)
Inventor
  • Tae, Patrick
  • Su, Caijun
  • Jagannath, Ravichandra
  • Ahr, Brian

Abstract

A light source includes a rotatable drum to be coated with xenon ice and illuminated by a laser beam to produce a plasma. The drum may also be translatable. The light source further includes a confocal chromatic sensor to measure distances from the confocal chromatic sensor to the rotatable drum. The confocal chromatic sensor may include a sensor head to focus light onto the rotatable drum and to detect reflected light from the rotatable drum. The sensor head and the rotatable drum may be disposed within a vacuum chamber.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • H05H 1/46 - Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

41.

METHODS AND SYSTEMS FOR MODEL-LESS, SCATTEROMETRY BASED MEASUREMENTS OF SEMICONDUCTOR STRUCTURES

      
Application Number US2023031580
Publication Number 2024/054388
Status In Force
Filing Date 2023-08-31
Publication Date 2024-03-14
Owner KLA CORPORATION (USA)
Inventor
  • Hench, John
  • Krishna, Akshay
  • Liman, Christopher
  • Smith, Jeremy
  • Yin, Liang
  • Park, Hyowon
  • Wang, Tianhan
  • Chen, Boxue

Abstract

Methods and systems for performing model-less measurements of semiconductor structures based on scatterometry measurement data are described herein. Scatterometry measurement data is processed directly, without the use of a traditional measurement model. Measurement sensitivity is defined by the changes in detected diffraction images at one or more non-zero diffraction orders over at least two different illumination incidence angles. Discrete values of a scalar function are determined directly from measured images at each incidence angle. A continuous mathematical function is fit to the set of discrete values of the scalar function determined at each incidence angle. A value of a parameter of interest is determined based on analysis of the mathematical function. In some embodiments, the scalar function includes a weighting function, and the weighting values associated with weighting function are optimized to yield an accurate fit of the mathematical function to the scalar values.

IPC Classes  ?

  • G01N 23/20 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by using reflection of the radiation by the materials
  • H01L 21/66 - Testing or measuring during manufacture or treatment

42.

AUTO-FOCUS SENSOR IMPLEMENTATION FOR MULTI-COLUMN MICROSCOPES

      
Application Number 17930332
Status Pending
Filing Date 2022-09-07
First Publication Date 2024-03-07
Owner KLA Corporation (USA)
Inventor
  • Petrone, Nicholas
  • Muray, Lawrence
  • Brodie, Alan

Abstract

An array of localized auto-focus sensors provides direct measurement of the working distance between each microscope column in the array and the substrate being imaged below. The auto-focus sensors measure the working distance between each column and the imaging substrate as it passes over a point on the substrate to be imaged. The working distance measurement from the sensors is input into a control system, which in turn outputs the required working distance adjustment to the microscope column. The control system independently adjusts microscope working distance and/or physical distance of an individual microscope column in a multi-column microscope based on auto-focus sensor input. The individual microscope columns in the multi-column microscope can also be used as the auto-focus sensor itself.

IPC Classes  ?

  • H01J 37/21 - Means for adjusting the focus
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

43.

NOISE DIAGNOSTICS FOR AN ELECTRON BEAM INSPECTION SYSTEM WITH SWATHING

      
Application Number 17896067
Status Pending
Filing Date 2022-08-25
First Publication Date 2024-02-29
Owner KLA Corporation (USA)
Inventor
  • Xiong, Bo
  • Yang, Hedong
  • Wang, Kay

Abstract

Parameters from an inspection image representing mechanical vibrations and electromagnetic interference can be determined. An X-direction vibration spectrum can be determined based on the X-direction offsets. A Y-direction vibration spectrum can be determined based on the Y-direction offsets. The determinations can be based on a swath image of a workpiece, such as a semiconductor wafer or reticle.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G06T 7/00 - Image analysis
  • G06T 7/11 - Region-based segmentation
  • G06T 7/13 - Edge detection
  • G06T 7/70 - Determining position or orientation of objects or cameras

44.

DEEP LEARNING MODEL-BASED ALIGNMENT FOR SEMICONDUCTOR APPLICATIONS

      
Application Number US2023029915
Publication Number 2024/044046
Status In Force
Filing Date 2023-08-10
Publication Date 2024-02-29
Owner KLA CORPORATION (USA)
Inventor
  • Chen, Hong
  • Fan, Ziqi
  • Wallingford, Richard
  • Li, Xiaochun
  • Park, Sangbong

Abstract

Methods and systems for deep learning alignment for semiconductor applications are provided. One method includes transforming design information for an alignment target on a specimen to a predicted image of the alignment target by inputting the design information into a deep learning model and aligning the predicted image to an image of the alignment target on the specimen generated by an imaging subsystem. The method also includes determining an offset between the predicted image and the image generated by the imaging subsystem based on results of the aligning and storing the determined offset as an align-to-design offset for use in a process performed on the specimen with the imaging subsystem.

IPC Classes  ?

45.

NOISE DIAGNOSTICS FOR AN ELECTRON BEAM INSPECTION SYSTEM WITH SWATHING

      
Application Number US2023030755
Publication Number 2024/044146
Status In Force
Filing Date 2023-08-22
Publication Date 2024-02-29
Owner KLA CORPORATION (USA)
Inventor
  • Xiong, Bo
  • Yang, Hedong
  • Wang, Kay

Abstract

Parameters from an inspection image representing mechanical vibrations and electromagnetic interference can be determined. An X-direction vibration spectrum can be determined based on the X-direction offsets. A Y-direction vibration spectrum can be determined based on the Y-direction offsets. The determinations can be based on a swath image of a workpiece, such as a semiconductor wafer or reticle.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

46.

MULTI-PITCH GRID OVERLAY TARGET FOR SCANNING OVERLAY METROLOGY

      
Application Number 18234773
Status Pending
Filing Date 2023-08-16
First Publication Date 2024-02-29
Owner KLA Corporation (USA)
Inventor
  • Lubashevsky, Yuval
  • Gdor, Itay
  • Negri, Daria
  • Hajaj, Eitan

Abstract

An overlay metrology system with pitches in multiple directions in a single cell is disclosed. The overlay target may, according to a metrology recipe, include a multi-layer structure on two or more layers of a cell of the sample. The multi-layer structure may include structures in each layer having one or more pitches in one or more directions of periodicity. The multi-layer structure may include structures with a first pitch in a first direction, a second pitch in a second direction, a third pitch in the first direction, and a fourth pitch in the second direction. At least one of the first pitch or the third pitch may be different than at least one of the second pitch or the fourth pitch.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 9/02 - Interferometers

47.

MULTI-PITCH GRID OVERLAY TARGET FOR SCANNING OVERLAY METROLOGY

      
Application Number US2023030648
Publication Number 2024/044112
Status In Force
Filing Date 2023-08-20
Publication Date 2024-02-29
Owner KLA CORPORATION (USA)
Inventor
  • Lubashevsky, Yuval
  • Gdor, Itay
  • Negri, Daria
  • Hajaj, Eitan

Abstract

An overlay metrology system with pitches in multiple directions in a single cell is disclosed. The overlay target may, according to a metrology recipe, include a multi-layer structure on two or more layers of a cell of the sample. The multi-layer structure may include structures in each layer having one or more pitches in one or more directions of periodicity. The multi-layer structure may include structures with a first pitch in a first direction, a second pitch in a second direction, a third pitch in the first direction, and a fourth pitch in the second direction. At least one of the first pitch or the third pitch may be different than at least one of the second pitch or the fourth pitch.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G03F 7/20 - Exposure; Apparatus therefor

48.

Back-Illuminated Sensor And A Method Of Manufacturing A Sensor Using A Silicon On Insulator Wafer

      
Application Number 18502059
Status Pending
Filing Date 2023-11-05
First Publication Date 2024-02-22
Owner KLA Corporation (USA)
Inventor
  • Haddadi, Abbas
  • Yalamanchili, Sisir
  • Fielden, John
  • Chuang, Yung-Ho Alex

Abstract

An image sensor is fabricated by first heavily p-type doping the thin top monocrystalline silicon substrate of an SOI wafer, then forming a relatively lightly p-doped epitaxial layer on a top surface of the top silicon substrate, where p-type doping levels during these two processes are controlled to produce a p-type dopant concentration gradient in the top silicon substrate. Sensing (circuit) elements and associated metal interconnects are fabricated on the epitaxial layer, then the handling substrate and oxide layer of the SOI wafer are at least partially removed to expose a lower surface of either the top silicon substrate or the epitaxial layer, and then a pure boron layer is formed on the exposed lower surface. The p-type dopant concentration gradient monotonically decreases from a maximum level near the top-silicon/epitaxial-layer interface to a minimum concentration level at the epitaxial layer's upper surface.

IPC Classes  ?

49.

Methods And Systems For X-Ray Scatterometry Measurements Employing A Machine Learning Based Electromagnetic Response Model

      
Application Number 17888776
Status Pending
Filing Date 2022-08-16
First Publication Date 2024-02-22
Owner KLA Corporation (USA)
Inventor
  • Mahvash, Mohsen
  • Hench, John J.
  • Jafarzanjani, Samad
  • Shen, Rebecca
  • Liman, Christopher D.
  • Chen, Boxue

Abstract

Methods and systems for estimating values of parameters of interest from X-ray scatterometry measurements with reduced computational effort are described herein. Values of parameters of interest are estimated by regression using a trained, machine learning (ML) based electromagnetic (EM) response model. A training data set includes sets of Design Of Experiments (DOE) values of parameters of interest and corresponding DOE values of a plurality of electromagnetic response metrics. In some examples, values of parameters of interest are determined from measured images based on regression using a sequence of trained ML based electromagnetic response models. In some examples, input values employed to train the ML based EM response model are scaled based on model output variation.

IPC Classes  ?

  • G01N 23/20066 - Measuring inelastic scattering of gamma rays, e.g. Compton effect

50.

Lithography mask repair by simulation of photoresist thickness evolution

      
Application Number 18231413
Grant Number 11966156
Status In Force
Filing Date 2023-08-08
First Publication Date 2024-02-22
Grant Date 2024-04-23
Owner KLA Corporation (USA)
Inventor
  • Vukkadala, Pradeep
  • Parsey, Guy
  • Bai, Kunlun
  • Li, Xiaohan
  • Burov, Anatoly
  • Zhang, Cao
  • Graves, John S.
  • Biafore, John

Abstract

A system for mask design repair may develop a simulation-based model of a layer thickness after one or more process steps for fabricating features on a sample, develop a transformed model of the fabrication process that emulates the simulation-based model and has a faster evaluation speed than the simulation-based model, and where the inputs to the transformed model include the input mask design, and where the outputs of the transformed model include one or more output parameters associated with fabrication of the input mask design as well as one or more sensitivity metrics describing sensitivities of the one or more output parameters to variations of the input mask design. The system may further receive a candidate mask design and generate a repaired mask design based on the transformed model and the candidate mask design.

IPC Classes  ?

  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging

51.

METHODS AND SYSTEMS FOR X-RAY SCATTEROMETRY MEASUREMENTS EMPLOYING A MACHINE LEARNING BASED ELECTROMAGNETIC RESPONSE MODEL

      
Application Number US2023018765
Publication Number 2024/039413
Status In Force
Filing Date 2023-04-17
Publication Date 2024-02-22
Owner KLA CORPORATION (USA)
Inventor
  • Mahvash, Mohsen
  • Hench, John J.
  • Jafarzanjani, Samad
  • Shen, Rebecca
  • Liman, Christopher D.
  • Chen, Boxue

Abstract

Methods and systems for estimating values of parameters of interest from X-ray scatterometry measurements with reduced computational effort are described herein. Values of parameters of interest are estimated by regression using a trained, machine learning (ML) based electromagnetic (EM) response model. A training data set includes sets of Design Of Experiments (DOE) values of parameters of interest and corresponding DOE values of a plurality of electromagnetic response metrics. In some examples, values of parameters of interest are determined from measured images based on regression using a sequence of trained ML based electromagnetic response models. In some examples, input values employed to train the ML based EM response model are scaled based on model output variation.

IPC Classes  ?

  • G01N 23/201 - Measuring small-angle scattering, e.g. small angle X-ray scattering [SAXS]
  • G06N 20/00 - Machine learning
  • H01L 21/66 - Testing or measuring during manufacture or treatment

52.

LITHOGRAPHY MASK REPAIR BY SIMULATION OF PHOTORESIST THICKNESS EVOLUTION

      
Application Number US2023030026
Publication Number 2024/039574
Status In Force
Filing Date 2023-08-11
Publication Date 2024-02-22
Owner KLA CORPORATION (USA)
Inventor
  • Vukkadala, Pradeep
  • Parsey, Guy
  • Bai, Kunlun
  • Li, Xiaohan
  • Burov, Anatoly
  • Zhang, Cao
  • Graves, John S.
  • Biafore, John

Abstract

A system for mask design repair may develop a simulation-based model of a layer thickness after one or more process steps for fabricating features on a sample, develop a transformed model of the fabrication process that emulates the simulation-based model and has a faster evaluation speed than the simulation-based model, and where the inputs to the transformed model include the input mask design, and where the outputs of the transformed model include one or more output parameters associated with fabrication of the input mask design as well as one or more sensitivity metrics describing sensitivities of the one or more output parameters to variations of the input mask design. The system may further receive a candidate mask design and generate a repaired mask design based on the transformed model and the candidate mask design.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging

53.

SCATTEROMETRY OVERLAY METROLOGY WITH ORTHOGONAL FINE-PITCH SEGMENTATION

      
Application Number US2023028085
Publication Number 2024/035527
Status In Force
Filing Date 2023-07-19
Publication Date 2024-02-15
Owner KLA CORPORATION (USA)
Inventor
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon

Abstract

An overlay metrology target may include grating-over-grating structures formed from a lower grating structure with a first coarse pitch in a first sample layer and an upper grating structure with a second coarse pitch in a second sample layer, where the upper and lower grating structures overlap on the sample. At least one of the upper grating structure or the lower grating structure may include features with a fine pitch smaller than a wavelength of an illumination beam and arranged to rotate first-order diffraction of the illumination beam associated with at least one of the first or second coarse pitches with respect to at least one of specular reflection from a top surface of the sample or zero-order diffraction from the one or more grating structures. Overlay between the first and second layers of the sample is determinable from an image of the grating structures based on the first-order diffraction.

IPC Classes  ?

  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G03F 7/20 - Exposure; Apparatus therefor

54.

DETECTING DEFECTS ON SPECIMENS

      
Application Number 17978713
Status Pending
Filing Date 2022-11-01
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Yu, Li
  • Si, Wei
  • Verma, Prashant
  • Li, Xiaochun
  • Park, Sangbong

Abstract

Methods and systems for detecting defects on a specimen are provided. One system performs double detection in which at least one of the reference images compared to a test image is a computed reference image generated from multiple images corresponding to the test image. The other reference image may or may not be computed from more than one of the multiple images. Such a computed reference image may also be a median-based computed reference generated from multiple-median images generated from different subsets of images in a job of images generated by an inspection subsystem for a specimen. Such a system may also group images for a die row on a specimen into different jobs based on color so that different jobs have different color value ranges. Such grouping may also be performed so that each of the jobs includes a number of images greater than a predetermined, minimum job size.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods

55.

Methods And Systems For Systematic Error Compensation Across A Fleet Of Metrology Systems Based On A Trained Error Evaluation Model

      
Application Number 18229606
Status Pending
Filing Date 2023-08-02
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Di, Ming
  • Chang, Yih-Chung
  • Chen, Xi
  • Hu, Dawei
  • Xu, Ce
  • Huang, Bowei
  • Baskin, Igor
  • Neil, Mark Allen
  • Zhang, Tianhao
  • Sadiq, Malik Karman
  • Krishnan, Shankar
  • Tsai, Jenching
  • Ygartua, Carlos L.
  • Tsao, Yao-Chung
  • Zhao, Qiang

Abstract

Methods and systems for compensating systematic errors across a fleet of metrology systems based on a trained error evaluation model to improve matching of measurement results across the fleet are described herein. In one aspect, the error evaluation model is a machine learning based model trained based on a set of composite measurement matching signals. Composite measurement matching signals are generated based on measurement signals generated by each target measurement system and corresponding model-based measurement signals associated with each target measurement system and reference measurement system. The training data set also includes an indication of whether each target system is operating within specification, an indication of the values of system model parameter of each target system, or both. In some embodiments, the composite measurement matching signals driving the training of the error evaluation model are weighted differently, for example, based on measurement sensitivity, measurement noise, or both.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/66 - Testing or measuring during manufacture or treatment

56.

SYSTEM AND METHOD FOR MITIGATING OVERLAY DISTORTION PATTERNS CAUSED BY A WAFER BONDING TOOL

      
Application Number 18378052
Status Pending
Filing Date 2023-10-09
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Zach, Franz
  • Smith, Mark D.
  • Gronheid, Roel

Abstract

A system includes a wafer shape metrology sub-system configured to perform one or more shape measurements on post-bonding pairs of wafers. The system includes a controller communicatively coupled to the wafer shape metrology sub-system. The controller receives a set of measured distortion patterns. The controller applies a bonder control model to the measured distortion patterns to determine a set of overlay distortion signatures. The bonder control model is made up of a set of orthogonal wafer signatures that represent the achievable adjustments. The controller determines whether the set of overlay distortion signatures associated with the measured distortion patterns are outside tolerance limits provides one or more feedback adjustments to the bonder tool.

IPC Classes  ?

  • G05B 19/18 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

57.

SCATTEROMETRY OVERLAY METROLOGY WITH ORTHOGONAL FINE-PITCH SEGMENTATION

      
Application Number 17885909
Status Pending
Filing Date 2022-08-11
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon

Abstract

An overlay metrology target may include grating-over-grating structures formed from a lower grating structure with a first coarse pitch in a first sample layer and an upper grating structure with a second coarse pitch in a second sample layer, where the upper and lower grating structures overlap on the sample. At least one of the upper grating structure or the lower grating structure may include features with a fine pitch smaller than a wavelength of an illumination beam and arranged to rotate first-order diffraction of the illumination beam associated with at least one of the first or second coarse pitches with respect to at least one of specular reflection from a top surface of the sample or zero-order diffraction from the one or more grating structures. Overlay between the first and second layers of the sample is determinable from an image of the grating structures based on the first-order diffraction.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

58.

DETECTING DEFECTS ON SPECIMENS

      
Application Number US2023026272
Publication Number 2024/035493
Status In Force
Filing Date 2023-06-27
Publication Date 2024-02-15
Owner KLA CORPORATION (USA)
Inventor
  • Yu, Li
  • Si, Wilson Wei
  • Verma, Prashant
  • Li, Xiaochun
  • Park, Sean Sangbong

Abstract

Methods and systems for detecting defects on a specimen are provided. One system performs double detection in which at least one of the reference images compared to a test image is a computed reference image generated from multiple images corresponding to the test image. The other reference image may or may not be computed from more than one of the multiple images. Such a computed reference image may also be a median-based computed reference generated from multiple-median images generated from different subsets of images in a job of images generated by an inspection subsystem for a specimen. Such a system may also group images for a die row on a specimen into different jobs based on color so that different jobs have different color value ranges. Such grouping may also be performed so that each of the jobs includes a number of images greater than a predetermined, minimum job size.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/90 - Determination of colour characteristics
  • G06T 7/70 - Determining position or orientation of objects or cameras
  • G06T 7/174 - Segmentation; Edge detection involving the use of two or more images
  • H01L 21/66 - Testing or measuring during manufacture or treatment

59.

METHODS AND SYSTEMS FOR SYSTEMATIC ERROR COMPENSATION ACROSS A FLEET OF METROLOGY SYSTEMS BASED ON A TRAINED ERROR EVALUATION MODEL

      
Application Number US2023029591
Publication Number 2024/035619
Status In Force
Filing Date 2023-08-07
Publication Date 2024-02-15
Owner KLA CORPORATION (USA)
Inventor
  • Di, Ming
  • Chang, Yih-Chung
  • Chen, Xi
  • Hu, Dawei
  • Xu, Ce
  • Huang, Bowei
  • Baskin, Igor
  • Neil, Mark Allen
  • Zhang, Tianhao
  • Sadiq, Malik Karman
  • Krishnan, Shankar
  • Tsai, Jenching
  • Ygartua, Carlos L.
  • Tsao, Yao-Chung
  • Zhao, Qiang

Abstract

Methods and systems for compensating systematic errors across a fleet of metrology systems based on a trained error evaluation model to improve matching of measurement results across the fleet are described herein. In one aspect, the error evaluation model is a machine learning based model trained based on a set of composite measurement matching signals. Composite measurement matching signals are generated based on measurement signals generated by each target measurement system and corresponding model-based measurement signals associated with each target measurement system and reference measurement system. The training data set also includes an indication of whether each target system is operating within specification, an indication of the values of system model parameter of each target system, or both. In some embodiments, the composite measurement matching signals driving the training of the error evaluation model are weighted differently, for example, based on measurement sensitivity, measurement noise, or both.

IPC Classes  ?

  • G01N 21/21 - Polarisation-affecting properties
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06N 20/00 - Machine learning

60.

3D PROFILOMETRY WITH A LINNIK INTERFEROMETER

      
Application Number US2023028299
Publication Number 2024/030271
Status In Force
Filing Date 2023-07-21
Publication Date 2024-02-08
Owner KLA CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Grauer, Yoav
  • Eisenbach, Shlomo
  • Hiebert, Stephen
  • Safrani, Avner
  • Gronheid, Roel

Abstract

Systems and methods for generating volumetric data are disclosed. Such systems and methods may include scanning a sample at a plurality of focal planes located along a depth direction of the sample. Such systems and methods may include generating, via a detector of a metrology sub-system, a plurality of images of a volumetric field of view of the sample at the plurality of focal planes. Such systems and methods may include aggregating the plurality of images to generate volumetric data of the volumetric field of view of the sample. The metrology sub-system may include a Linnik interferometer.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 11/25 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. moiré fringes, on the object
  • G01B 9/02 - Interferometers
  • G01B 9/04 - Measuring microscopes
  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

61.

PHOTOLUMINESCENCE FOR SEMICONDUCTOR YIELD RELATED APPLICATIONS

      
Application Number 18358841
Status Pending
Filing Date 2023-07-25
First Publication Date 2024-02-08
Owner KLA Corporation (USA)
Inventor
  • Xu, James
  • Shortt, David W.
  • Ding, Yiwu

Abstract

Methods and systems for determining information for a specimen are provided. Certain embodiments relate to detecting photoluminescence for applications such as inspection and/or metrology of electro-optically active devices or advanced packaging devices. One embodiment of a system includes an illumination subsystem configured for directing light having one or more illumination wavelengths to a specimen and a detection subsystem configured for detecting photoluminescence from the specimen. The system also includes a computer subsystem configured for determining information for the specimen from output generated by the detection subsystem responsive to the detected photoluminescence.

IPC Classes  ?

62.

MICRO-LENS ARRAY FOR METAL-CHANNEL PHOTOMULTIPLIER TUBE

      
Application Number US2023016781
Publication Number 2024/030161
Status In Force
Filing Date 2023-03-29
Publication Date 2024-02-08
Owner KLA CORPORATION (USA)
Inventor
  • Donders, Paul
  • Mackay, Derek

Abstract

The effective quantum efficiency of a metal-channel photomultiplier tube can be increased with an optical system. The optical system can direct incident light from areas of low efficiency on the cathode of the metal-channel photomultiplier tube instead to areas of high efficiency on the cathode. These high-efficiency areas of the cathode can correspond to a position between the dynode structure.

IPC Classes  ?

  • H01J 43/18 - Electrode arrangements using essentially more than one dynode

63.

PHOTOLUMINESCENCE FOR SEMICONDUCTOR YIELD RELATED APPLICATIONS

      
Application Number US2023029256
Publication Number 2024/030457
Status In Force
Filing Date 2023-08-02
Publication Date 2024-02-08
Owner KLA CORPORATION (USA)
Inventor
  • Xu, James
  • Shortt, David W.
  • Ding, Yiwu

Abstract

Methods and systems for determining information for a specimen are provided. Certain embodiments relate to detecting photoluminescence for applications such as inspection and/or metrology of electro-optically active devices or advanced packaging devices. One embodiment of a system includes an illumination subsystem configured for directing light having one or more illumination wavelengths to a specimen and a detection subsystem configured for detecting photoluminescence from the specimen. The system also includes a computer subsystem configured for determining information for the specimen from output generated by the detection subsystem responsive to the detected photoluminescence.

IPC Classes  ?

  • G01N 21/64 - Fluorescence; Phosphorescence
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

64.

METROLOGY SAMPLING PLANS FOR ONLY OUT OF SPECIFICATION DETECTION

      
Application Number US2023028519
Publication Number 2024/025849
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-01
Owner KLA CORPORATION (USA)
Inventor
  • Anis, Fatima
  • Brinster, Irina

Abstract

Methods and systems for determining information for a specimen are provided. One method includes generating a sampling plan for only out of specification detection of a characteristic of specimens in a metrology process. The method also includes generating output for the specimens by performing the metrology process on the specimens with the generated sampling plan. In addition, the method includes determining the characteristic of the specimen based on the generated output and detecting if the characteristic of one or more of the specimens is out of specification based on the determined characteristic of the specimens. The embodiments described herein are particularly suitable for overlay metrology with substantially sparse sampling plans configured for only out of specification detection of the overlay.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

65.

METROLOGY TARGET FOR ONE-DIMENSIONAL MEASUREMENT OF PERIODIC MISREGISTRATION

      
Application Number 18144540
Status Pending
Filing Date 2023-05-08
First Publication Date 2024-02-01
Owner KLA Corporation (USA)
Inventor
  • Feler, Yoel
  • Ghinovker, Mark

Abstract

A metrology target includes a first target structure set having one or more first target structures formed within at least one of a first working zone or a second working zone of a sample. The metrology target includes a second target structure set having one or more second target structures formed within at least one of the first working zone or the second working zone. The first working zone may include a center of symmetry that overlaps with a center of symmetry of the second working zone when an overlay error of one or more layers of the sample is not present. The metrology target may additionally include a third target structure set, a fourth target structure set, or a fifth target structure set.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G06T 7/00 - Image analysis

66.

METROLOGY SAMPLING PLANS FOR ONLY OUT OF SPECIFICATION DETECTION

      
Application Number 18353098
Status Pending
Filing Date 2023-07-16
First Publication Date 2024-02-01
Owner KLA Corporation (USA)
Inventor
  • Anis, Fatima
  • Brinster, Irina

Abstract

Methods and systems for determining information for a specimen are provided. One method includes generating a sampling plan for only out of specification detection of a characteristic of specimens in a metrology process. The method also includes generating output for the specimens by performing the metrology process on the specimens with the generated sampling plan. In addition, the method includes determining the characteristic of the specimen based on the generated output and detecting if the characteristic of one or more of the specimens is out of specification based on the determined characteristic of the specimens. The embodiments described herein are particularly suitable for overlay metrology with substantially sparse sampling plans configured for only out of specification detection of the overlay.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01N 35/00 - Automatic analysis not limited to methods or materials provided for in any single one of groups ; Handling materials therefor

67.

3D PROFILOMETRY WITH A LINNIK INTERFEROMETER

      
Application Number 17878415
Status Pending
Filing Date 2022-08-01
First Publication Date 2024-02-01
Owner KLA CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Grauer, Yoav
  • Eisenbach, Shlomo
  • Hiebert, Stephen
  • Safrani, Avner
  • Gronheid, Roel

Abstract

Systems and methods for generating volumetric data are disclosed. Such systems and methods may include scanning a sample at a plurality of focal planes located along a depth direction of the sample. Such systems and methods may include generating, via a detector of a metrology sub-system, a plurality of images of a volumetric field of view of the sample at the plurality of focal planes. Such systems and methods may include aggregating the plurality of images to generate volumetric data of the volumetric field of view of the sample. The metrology sub-system may include a Linnik interferometer.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 17/06 - Measuring arrangements characterised by the use of infrasonic, sonic, or ultrasonic vibrations for measuring contours or curvatures
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

68.

MASSIVE OVERLAY METROLOGY SAMPLING WITH MULTIPLE MEASUREMENT COLUMNS

      
Application Number 18376703
Status Pending
Filing Date 2023-10-04
First Publication Date 2024-01-25
Owner KLA Corporation (USA)
Inventor
  • Madsen, Jonathan
  • Shchegrov, Andrei V.
  • Manassen, Amnon
  • Hill, Andrew V.
  • Simon, Yossi
  • Laredo, Gilad
  • Uziel, Yoram

Abstract

A multi-column metrology tool may include two or more measurement columns distributed along a column direction, where the two or more measurement columns simultaneously probe two or more measurement regions on a sample including metrology targets. A measurement column may include an illumination sub-system to direct illumination to the sample, a collection sub-system including a collection lens to collect measurement signals from the sample and direct it to one or more detectors, and a column-positioning sub-system to adjust a position of the collection lens. A measurement region of a measurement column may be defined by a field of view of the collection lens and a range of the positioning system in the lateral plane. The tool may further include a sample-positioning sub-system to scan the sample along a scan path different than the column direction to position metrology targets within the measurement regions of the measurement columns for measurements.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

69.

System and method for determining target feature focus in image-based overlay metrology

      
Application Number 18097438
Grant Number 11921825
Status In Force
Filing Date 2023-01-16
First Publication Date 2024-01-18
Grant Date 2024-03-05
Owner KLA Corporation (USA)
Inventor
  • Lavert, Etay
  • Manassen, Amnon
  • Simon, Yossi
  • Sanko, Dimitry
  • Safrani, Avner

Abstract

A metrology system includes one or more through-focus imaging metrology sub-systems communicatively coupled to a controller having one or more processors configured to receive a plurality of training images captured at one or more focal positions. The one or more processors may generate a machine learning classifier based on the plurality of training images. The one or more processors may receive one or more target feature selections for one or more target overlay measurements corresponding to one or more target features. The one or more processors may determine one or more target focal positions based on the one or more target feature selections using the machine learning classifier. The one or more processors may receive one or more target images captured at the one or more target focal positions, the target images including the one or more target features of the target specimen, and determine overlay based thereon.

IPC Classes  ?

  • G06N 20/00 - Machine learning
  • G06F 3/0481 - Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
  • G06F 18/214 - Generating training patterns; Bootstrap methods, e.g. bagging or boosting
  • G06F 18/24 - Classification techniques
  • G06F 18/40 - Software arrangements specially adapted for pattern recognition, e.g. user interfaces or toolboxes therefor
  • G06N 5/04 - Inference or reasoning models
  • G06T 11/00 - 2D [Two Dimensional] image generation

70.

MINIATURE ELECTRON OPTICAL COLUMN WITH A LARGE FIELD OF VIEW

      
Application Number US2023025350
Publication Number 2024/015184
Status In Force
Filing Date 2023-06-15
Publication Date 2024-01-18
Owner KLA CORPORATION (USA)
Inventor
  • Brodie, Alan, D.
  • Muray, Lawrence, P.
  • Gerling, John

Abstract

A miniature electron optical column apparatus is disclosed. The apparatus may include a set of electron-optical elements configured to direct a primary electron beam to a sample. The set of electron-optical elements may include an objective lens. The apparatus may also include a deflection sub-system. The deflection sub-system may include one or more pre-lens deflectors positioned between an electron beam source and the objective lens. The deflection sub-system may also include a post-lens deflector positioned between the objective lens and the sample. The deflection sub-system may also include a post-lens miniature optical element positioned between the objective lens and the sample.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/10 - Lenses
  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/21 - Means for adjusting the focus
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

71.

INSPECTWISE

      
Application Number 018974343
Status Registered
Filing Date 2024-01-15
Registration Date 2024-03-26
Owner KLA Corporation (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Downloadable and prerecorded computer software for use in process control and yield management for the semiconductor, integrated circuit and related microelectronics manufacturing industries; computer hardware and downloadable and prerecorded computer software for testing, inspecting, characterizing, and predicting physical and electrical properties of semiconductors, integrated circuits, microelectronics, and wafers; computer hardware and downloadable and prerecorded computer software for use in detecting imperfections and faults in semiconductor electronic components, integrated circuits, microelectronics, and wafers.

72.

MINIATURE ELECTRON OPTICAL COLUMN WITH A LARGE FIELD OF VIEW

      
Application Number 17862052
Status Pending
Filing Date 2022-07-11
First Publication Date 2024-01-11
Owner KLA CORPORATION (USA)
Inventor
  • Brodie, Alan D.
  • Muray, Lawrence P.
  • Gerling, John

Abstract

A miniature electron optical column apparatus is disclosed. The apparatus may include a set of electron-optical elements configured to direct a primary electron beam to a sample. The set of electron-optical elements may include an objective lens. The apparatus may also include a deflection sub-system. The deflection sub-system may include one or more pre-lens deflectors positioned between an electron beam source and the objective lens. The deflection sub-system may also include a post-lens deflector positioned between the objective lens and the sample. The deflection sub-system may also include a post-lens miniature optical element positioned between the objective lens and the sample.

IPC Classes  ?

  • H01J 37/141 - Electromagnetic lenses
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators

73.

UNSUPERVISED OR SELF-SUPERVISED DEEP LEARNING FOR SEMICONDUCTOR-BASED APPLICATIONS

      
Application Number 17671519
Status Pending
Filing Date 2022-02-14
First Publication Date 2024-01-11
Owner KLA Corporation (USA)
Inventor
  • Zhang, Jing
  • Theagarajan, Rajkumar
  • Dong, Yujie
  • Song, John
  • Bhaskar, Kris

Abstract

Methods and systems for determining information for a specimen are provided. One system includes a computer subsystem and one or more components executed by the computer subsystem that include a deep learning (DL) model trained without labeled data (e.g., in an unsupervised or self-supervised manner) and configured to generate a reference for a specimen from one or more inputs that include at least a specimen image or data generated from the specimen image. The computer subsystem is configured for determining information for the specimen from the reference and at least the specimen image or the data generated from the specimen image.

IPC Classes  ?

74.

METHOD AND SYSTEM FOR CLEANING OPTICAL ELEMENTS IN EUV OPTICAL SYSTEMS

      
Application Number US2023024634
Publication Number 2024/006037
Status In Force
Filing Date 2023-06-07
Publication Date 2024-01-04
Owner KLA CORPORATION (USA)
Inventor
  • Xie, Yun
  • Shi, Rui-Fang
  • Hill, Shannon

Abstract

A system and method for cleaning an optical element of an EUV optical system is disclosed. The system and method may include receiving design data of one or more samples. The system and method may include simulating a plurality of irradiance distributions at a plane of an EUV optical sub-system based on the design data and one or more parameters. The system and method may include aggregating the plurality of irradiance distributions to generate an aggregated irradiance distribution. The system and method may include determining a predicted contaminate distribution based on both the aggregated irradiance distribution and a contaminate growth rate. The system and method may include determining a cleaning recipe for the one or more optical elements based on the predicted contaminate distribution.

IPC Classes  ?

  • G01N 21/15 - Preventing contamination of the components of the optical system or obstruction of the light path
  • G01N 21/17 - Systems in which incident light is modified in accordance with the properties of the material investigated
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/94 - Investigating contamination, e.g. dust
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 1/84 - Inspecting
  • G03F 7/20 - Exposure; Apparatus therefor

75.

Reference image grouping in overlay metrology

      
Application Number 18101369
Grant Number 11861824
Status In Force
Filing Date 2023-01-25
First Publication Date 2024-01-02
Grant Date 2024-01-02
Owner KLA Corporation (USA)
Inventor
  • Peled, Einat
  • Cohen, Naama
  • Lamhot, Yuval

Abstract

An overlay metrology system may include a controller for receiving metrology data associated with a plurality of overlay targets on one or more samples; generating a reference metric for at least some of the plurality of overlay targets based on the metrology data, where the reference metric is associated with one or more properties of the respective overlay targets that contributes to overlay error; classifying the plurality of overlay targets into one or more groups based on the reference metrics calculated for the plurality of overlay targets; generating a reference image for at least some of the one or more groups; generating corrected metrology data using the associated reference image for at least some of the one or more groups; and generating overlay measurements for the plurality of overlay targets based on the corrected metrology data.

IPC Classes  ?

  • G06K 9/00 - Methods or arrangements for reading or recognising printed or written characters or for recognising patterns, e.g. fingerprints
  • G06T 7/00 - Image analysis

76.

Image Modeling-Assisted Contour Extraction

      
Application Number 17849554
Status Pending
Filing Date 2022-06-24
First Publication Date 2023-12-28
Owner KLA CORPORATION (USA)
Inventor
  • Eyring, Stefan
  • Chen, Zhijin
  • Laske, Frank

Abstract

A wafer metrology tool, such as a scanning electron microscope, can generate an image of a structure on a wafer. A simulated image of the structure also is determined from a design of the wafer. A contour of the structure in the image and a contour of the structure in the simulated image are determined. These contours are compared.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06T 7/00 - Image analysis

77.

METHOD AND SYSTEM FOR CLEANING OPTICAL ELEMENTS IN EUV OPTICAL SYSTEMS

      
Application Number 17944009
Status Pending
Filing Date 2022-09-13
First Publication Date 2023-12-28
Owner KLA CORPORATION (USA)
Inventor
  • Xie, Yun
  • Shi, Rui-Fang
  • Hill, Shannon

Abstract

A system and method for cleaning an optical element of an EUV optical system is disclosed. The system and method may include receiving design data of one or more samples. The system and method may include simulating a plurality of irradiance distributions at a plane of an EUV optical sub-system based on the design data and one or more parameters. The system and method may include aggregating the plurality of irradiance distributions to generate an aggregated irradiance distribution. The system and method may include determining a predicted contaminate distribution based on both the aggregated irradiance distribution and a contaminate growth rate. The system and method may include determining a cleaning recipe for the one or more optical elements based on the predicted contaminate distribution.

IPC Classes  ?

78.

IMAGE MODELING-ASSISTED CONTOUR EXTRACTION

      
Application Number US2023024218
Publication Number 2023/249806
Status In Force
Filing Date 2023-06-02
Publication Date 2023-12-28
Owner KLA CORPORATION (USA)
Inventor
  • Eyring, Stefan
  • Chen, Zhijin
  • Laske, Frank

Abstract

A wafer metrology tool, such as a scanning electron microscope, can generate an image of a structure on a wafer. A simulated image of the structure also is determined from a design of the wafer. A contour of the structure in the image and a contour of the structure in the simulated image are determined. These contours are compared.

IPC Classes  ?

  • G01B 15/04 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
  • G01B 15/02 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • H01L 21/66 - Testing or measuring during manufacture or treatment

79.

Systems and methods for metrology with layer-specific illumination spectra

      
Application Number 16552107
Grant Number 11852590
Status In Force
Filing Date 2019-08-27
First Publication Date 2023-12-26
Grant Date 2023-12-26
Owner KLA Corporation (USA)
Inventor
  • Manassen, Amnon
  • Negri, Daria
  • Hill, Andrew V.
  • Bachar, Ohad
  • Levinski, Vladimir
  • Paskover, Yuri

Abstract

A metrology system may include an imaging sub-system including one or more lenses and a detector to image a sample, where the sample includes metrology target elements on two or more sample layers. The metrology system may further include a controller to determine layer-specific imaging configurations of the imaging sub-system to image the metrology target elements on the two or more sample layers within a selected image quality tolerance, where each layer-specific imaging configuration includes a selected configuration of one or more components of the imaging sub-system. The controller may further receive, from the imaging sub-system, one or more images of the metrology target elements on the two or more sample layers generated using the layer-specific imaging configurations. The controller may further provide a metrology measurement based on the one or more images of the metrology target elements on the two or more sample layers.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/33 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
  • G01N 21/3563 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
  • G01N 21/84 - Systems specially adapted for particular applications
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

80.

SYSTEM AND METHOD FOR REDUCING SAMPLE NOISE USING SELECTIVE MARKERS

      
Application Number 18139637
Status Pending
Filing Date 2023-04-26
First Publication Date 2023-12-21
Owner KLA Corporation (USA)
Inventor
  • Chen, Grace Hsiu-Ling
  • Virk, Kuljit S.
  • Gruebele, Martin

Abstract

An inspection is disclosed. The system may include an illumination source configured to illuminate a sample. The sample may include a multi-layer stack including a plurality of layers formed of a first material and at least a second material. The first material may include a light transmissive material and the second material may include light reflective material. A top layer within the stack may include absorptive markers configured to selectively bind to the top layer. The absorptive markers may be configured block light transmission through layers positioned below the top layer. The top layer may include photoluminescent markers configured to selectively bind to the light reflective material to enhance a feature of interest on the sample. The system may include detectors configured to detect photoluminescent emission emitted by the photoluminescent markers and optical elements may be configured to direct the photoluminescent emission to the detectors.

IPC Classes  ?

  • G01N 21/93 - Detection standards; Calibrating
  • G01N 21/91 - Investigating the presence of flaws, defects or contamination using penetration of dyes, e.g. fluorescent ink

81.

SYSTEM AND METHOD FOR REDUCING SAMPLE NOISE USING SELECTIVE MARKERS

      
Application Number US2023024636
Publication Number 2023/244468
Status In Force
Filing Date 2023-06-07
Publication Date 2023-12-21
Owner KLA CORPORATION (USA)
Inventor
  • Chen, Grace Hsiu-Ling
  • Virk, Kuljit S.
  • Gruebele, Martin

Abstract

An inspection is disclosed. The system may include an illumination source configured to illuminate a sample. The sample may include a multi-layer stack including a plurality of layers formed of a first material and at least a second material. The first material may include a light transmissive material and the second material may include light reflective material. A top layer within the stack may include absorptive markers configured to selectively bind to the top layer. The absorptive markers may be configured block light transmission through layers positioned below the top layer. The top layer may include photoluminescent markers configured to selectively bind to the light reflective material to enhance a feature of interest on the sample. The system may include detectors configured to detect photoluminescent emission emitted by the photoluminescent markers and optical elements may be configured to direct the photoluminescent emission to the detectors.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • H01L 21/66 - Testing or measuring during manufacture or treatment

82.

ROTATING TARGET FOR EXTREME ULTRAVIOLET SOURCE WITH LIQUID METAL

      
Application Number 18091994
Status Pending
Filing Date 2022-12-30
First Publication Date 2023-12-14
Owner KLA Corporation (USA)
Inventor
  • Bykanov, Alexander
  • Shi, Rui-Fang

Abstract

An extreme ultraviolet (EUV) light source includes a vacuum chamber with a rotating target assembly therein. The rotating target assembly has an annular groove with a distal wall relative to an axis of rotation. The distal wall includes a porous region. The rotating target assembly is rotated to form a target by centrifugal force with a layer of molten metal on a distal wall of an annular groove in the rotating target assembly.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

83.

ENHANCING PERFORMANCE OF OVERLAY METROLOGY

      
Application Number 18114451
Status Pending
Filing Date 2023-02-27
First Publication Date 2023-12-14
Owner KLA Corporation (USA)
Inventor
  • Manassen, Amnon
  • Hill, Andrew V.
  • Vaknin, Yonatan
  • Simon, Yossi
  • Negri, Daria
  • Levinski, Vladimir
  • Paskover, Yuri
  • Golotsvan, Anna
  • Rothman, Nachshon
  • Reddy, Nireekshan K.
  • Bendavid, Nir
  • Abramov, Avi
  • Yaacov, Dror
  • Uziel, Yoram
  • Gutman, Nadav

Abstract

A method for metrology includes directing at least one illumination beam to illuminate a semiconductor wafer on which at least first and second patterned layers have been deposited in succession, including a first target feature in the first patterned layer and a second target feature in the second patterned layer, overlaid on the first target feature. A sequence of images of the first and second target features is captured while varying one or more imaging parameters over the sequence. The images in the sequence are processed in order to identify respective centers of symmetry of the first and second target features in the images and measure variations in the centers of symmetry as a function of the varying image parameters. The measured variations are applied in measuring an overlay error between the first and second patterned layers.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 27/28 - Optical systems or apparatus not provided for by any of the groups , for polarising
  • H04N 23/56 - Cameras or camera modules comprising electronic image sensors; Control thereof provided with illuminating means

84.

ROTATING TARGET FOR EXTREME ULTRAVIOLET SOURCE WITH LIQUID METAL

      
Application Number US2023023789
Publication Number 2023/239563
Status In Force
Filing Date 2023-05-29
Publication Date 2023-12-14
Owner KLA CORPORATION (USA)
Inventor
  • Bykanov, Alexander
  • Shi, Rui-Fang

Abstract

An extreme ultraviolet (EUV) light source includes a vacuum chamber with a rotating target assembly therein. The rotating target assembly has an annular groove with a distal wall relative to an axis of rotation. The distal wall includes a porous region. The rotating target assembly is rotated to form a target by centrifugal force with a layer of molten metal on a distal wall of an annular groove in the rotating target assembly.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G03F 1/84 - Inspecting

85.

UNIVERSAL METROLOGY MODEL

      
Application Number US2023022796
Publication Number 2023/235165
Status In Force
Filing Date 2023-05-19
Publication Date 2023-12-07
Owner KLA CORPORATION (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir
  • Manassen, Amnon

Abstract

A metrology system may arrange metrology measurements for a plurality of metrology targets distributed in a plurality of fields on one or samples into a signal vector, where the metrology measurements associated with the metrology targets in each of the plurality of fields are grouped within the signal vector. The system may further decompose the signal vector into reconstruction vectors associated with different spectral components of the signal vector. The system may further classify a subset of the reconstruction vectors as components of a metrology model, where a sum of the components corresponds to a metrology model describing the metrology measurements on the one or more samples. The system may further generate control signals to control one or more processing tools based on the metrology model.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment

86.

APPARATUS, METHOD AND COMPUTER PROGRAM PRODUCT FOR DEFECT DETECTION IN WORK PIECES

      
Application Number 18233807
Status Pending
Filing Date 2023-08-14
First Publication Date 2023-12-07
Owner KLA Corporation (USA)
Inventor
  • Marivoet, Tom
  • Truyens, Carl
  • Wouters, Christophe

Abstract

An apparatus, a method and a computer program product for defect detection in work pieces is disclosed. At least one light source is provided and the light source generates an illumination light of a wavelength range at which the work piece is transparent. A camera images the light from at least one face of the work piece on a detector of the camera by means of a lens. A stage is used for moving the work piece and for imaging the at least one face of the semiconductor device completely with the camera. The computer program product is disposed on a non-transitory, computer readable medium for defect detection in work pieces. A computer is used to execute the various process steps and to control the various means of the apparatus.

IPC Classes  ?

  • G01R 31/01 - Subjecting similar articles in turn to test, e.g. "go/no-go" tests in mass production; Testing objects at points as they pass through a testing station
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01R 31/26 - Testing of individual semiconductor devices
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer

87.

Creating Multiple Electron Beams with a Photocathode Film

      
Application Number 17830244
Status Pending
Filing Date 2022-06-01
First Publication Date 2023-12-07
Owner KLA Corporation (USA)
Inventor
  • Jiang, Xinrong
  • Jiang, Youfei
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

An electron-beam device includes a laser and a photocathode film. The photocathode film has a front side and a back side and emits a plurality of electron beamlets when illuminated from the back side using the laser. The electron-beam device also includes electrodes to extract the plurality of electron beamlets from the front side of the photocathode film and to control shapes of the plurality of electron beamlets.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/14 - Lenses magnetic

88.

METHOD AND SYSTEM OF IMAGE-FORMING MULTI-ELECTRON BEAMS

      
Application Number US2023022470
Publication Number 2023/235145
Status In Force
Filing Date 2023-05-17
Publication Date 2023-12-07
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Sears, Christopher
  • Jiang, Youfei
  • K. Shriyan, Sameet
  • Lee, Jeong Ho
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

A multi-electron beam system that forms hundreds of beamlets can focus the beamlets, reduce Coulomb interaction effects, and improve resolutions of the beamlets. A Wien filter with electrostatic and magnetic deflection fields can separate the secondary electron beams from the primary electron beams and can correct the astigmatism and source energy dispersion blurs for all the beamlets simultaneously.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/14 - Lenses magnetic
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation

89.

CREATING MULTIPLE ELECTRON BEAMS WITH A PHOTOCATHODE FILM

      
Application Number US2023024074
Publication Number 2023/235441
Status In Force
Filing Date 2023-05-31
Publication Date 2023-12-07
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Jiang, Youfei
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

An electron-beam device includes a laser and a photocathode film. The photocathode film has a front side and a back side and emits a plurality of electron beamlets when illuminated from the back side using the laser. The electron-beam device also includes electrodes to extract the plurality of electron beamlets from the front side of the photocathode film and to control shapes of the plurality of electron beamlets.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

90.

UNIVERSAL METROLOGY MODEL

      
Application Number 17955385
Status Pending
Filing Date 2022-09-28
First Publication Date 2023-11-30
Owner KLA Corporation (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir
  • Manassen, Amnon

Abstract

A metrology system may arrange metrology measurements for a plurality of metrology targets distributed in a plurality of fields on one or samples into a signal vector, where the metrology measurements associated with the metrology targets in each of the plurality of fields are grouped within the signal vector. The system may further decompose the signal vector into reconstruction vectors associated with different spectral components of the signal vector. The system may further classify a subset of the reconstruction vectors as components of a metrology model, where a sum of the components corresponds to a metrology model describing the metrology measurements on the one or more samples. The system may further generate control signals to control one or more processing tools based on the metrology model.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/20 - Exposure; Apparatus therefor

91.

CONICAL POCKET LASER-SUSTAINED PLASMA LAMP

      
Application Number US2023022197
Publication Number 2023/224891
Status In Force
Filing Date 2023-05-15
Publication Date 2023-11-23
Owner KLA CORPORATION (USA)
Inventor
  • Kumar, Sumeet
  • Wittenberg, Joshua
  • Wang, Mark S.
  • Singh, Rajkeshar
  • Kagebayashi, Yoshio
  • Nozaki, Shinichiro

Abstract

A plasma lamp for use in a broadband plasma source of an inspection tool is disclosed. The plasma lamp includes a plasma bulb configured to contain a gas and generate a plasma within the plasma bulb. The plasma bulb is formed from a material at least partially transparent to illumination from a pump laser and at least a portion of broadband radiation emitted by the plasma. The plasma bulb includes a conical pocket. The conical pocket is configured to disrupt a plume rising from the plasma.

IPC Classes  ?

  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating
  • G01N 21/21 - Polarisation-affecting properties
  • H01J 61/02 - Gas-discharge or vapour-discharge lamps - Details

92.

Massive overlay metrology sampling with multiple measurement columns

      
Application Number 17210793
Grant Number 11899375
Status In Force
Filing Date 2021-03-24
First Publication Date 2023-11-09
Grant Date 2024-02-13
Owner KLA Corporation (USA)
Inventor
  • Madsen, Jonathan
  • Shchegrov, Andrei V.
  • Manassen, Amnon
  • Hill, Andrew V.
  • Simon, Yossi
  • Laredo, Gilad
  • Uziel, Yoram

Abstract

A multi-column metrology tool may include two or more measurement columns distributed along a column direction, where the two or more measurement columns simultaneously probe two or more measurement regions on a sample including metrology targets. A measurement column may include an illumination sub-system to direct illumination to the sample, a collection sub-system including a collection lens to collect measurement signals from the sample and direct it to one or more detectors, and a column-positioning sub-system to adjust a position of the collection lens. A measurement region of a measurement column may be defined by a field of view of the collection lens and a range of the positioning system in the lateral plane. The tool may further include a sample-positioning sub-system to scan the sample along a scan path different than the column direction to position metrology targets within the measurement regions of the measurement columns for measurements.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • H01J 37/304 - Controlling tubes by information coming from the objects, e.g. correction signals
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

93.

PROSPECT

      
Application Number 018947513
Status Registered
Filing Date 2023-11-07
Registration Date 2024-04-11
Owner KLA Corporation (USA)
NICE Classes  ?
  • 09 - Scientific and electric apparatus and instruments
  • 42 - Scientific, technological and industrial services, research and design

Goods & Services

Downloadable computer (simulation) software for identifying stochastic defects in semiconductor wafers; Downloadable computer software for use in predicting stochastic failures in integrated circuit layouts; Downloadable computer software for ranking the severity of stochastic defects in integrated circuit layouts; Downloadable computer software for simulating stochastic effectivity in integrated circuit layouts. Computer software (simulation) services for identifying stochastic defects in semiconductor wafers; Computer software services for use in predicting stochastic failures in integrated circuit layouts; Computer software services for ranking the severity of stochastic defects in integrated circuit layouts; Computer software services for simulating stochastic effectivity in integrated circuit layouts; Software as a service featuring software for identifying stochastic defects in semiconductor wafers; Software as a service featuring software for use in predicting stochastic failures in integrated circuit layouts; Software as a service featuring software for ranking the severity of stochastic defects in integrated circuit layouts; Software as a service featuring software for simulating stochastic effectivity in integrated circuit layouts.

94.

SHOT NOISE REDUCTION USING FRAME AVERAGING

      
Application Number 18128184
Status Pending
Filing Date 2023-03-29
First Publication Date 2023-11-02
Owner KLA Corporation (USA)
Inventor
  • Sezginer, Abdurrahman
  • Mcbride, Patrick
  • Bhattacharya, Indrasen
  • Danen, Robert M.

Abstract

An inspection system includes a controller including one or more processors configured to execute program instructions. The program instructions cause the one or more processors to receive at least a first portion of a first set of repeat swaths of a first scan path of a sample. The program instructions cause the one or more processors to generate an image by averaging the first portion of the first set of repeat swaths. Averaging the first portion of the first set of repeat swaths reduces a noise in the image. The program instructions cause the one or more processors to detect one or more defects in an inspection region of the sample using the image.

IPC Classes  ?

  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/00 - Image analysis
  • G06T 5/20 - Image enhancement or restoration by the use of local operators
  • G06V 20/50 - Context or environment of the image

95.

PROSPECT

      
Serial Number 98249422
Status Pending
Filing Date 2023-11-01
Owner KLA Corporation ()
NICE Classes  ?
  • 09 - Scientific and electric apparatus and instruments
  • 42 - Scientific, technological and industrial services, research and design

Goods & Services

Downloadable computer (simulation) software for identifying stochastic defects in semiconductor wafers; Downloadable computer software for use in predicting stochastic failures in integrated circuit layouts; Downloadable computer software for ranking the severity of stochastic defects in integrated circuit layouts; Downloadable computer software for simulating stochastic effectivity in integrated circuit layouts Computer software (simulation) services for identifying stochastic defects in semiconductor wafers; Computer software services for use in predicting stochastic failures in integrated circuit layouts; Computer software services for ranking the severity of stochastic defects in integrated circuit layouts; Computer software services for simulating stochastic effectivity in integrated circuit layouts; Software as a service featuring software for identifying stochastic defects in semiconductor wafers; Software as a service featuring software for use in predicting stochastic failures in integrated circuit layouts; Software as a service featuring software for ranking the severity of stochastic defects in integrated circuit layouts; Software as a service featuring software for simulating stochastic effectivity in integrated circuit layouts

96.

MEASUREMENT OF THICK FILMS AND HIGH ASPECT RATIO STRUCTURES

      
Application Number 18185100
Status Pending
Filing Date 2023-03-16
First Publication Date 2023-10-26
Owner KLA Corporation (USA)
Inventor
  • Wang, David Y.
  • Krishnan, Shankar

Abstract

The system includes a light source configured to emit light along an illumination path; a projection optical assembly disposed in the illumination path; a target disposed in the illumination path and configured to reflect the light along a collection path; a collection optical assembly disposed in the collection path; a detector disposed in the collection path and configured to detect the light reflected from the target and generate an output signal based on the detected light; and a processor in electronic communication with the detector and configured to generate a measurement of the target based on the output signal. The projection optical assembly defines a first numerical aperture at the target and the collection optical assembly defines a second numerical aperture at the target, and the first numerical aperture is slightly larger than the second numerical aperture for measurements of thick films and high aspect ratio structures.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

97.

EUV In-Situ Linearity Calibration for TDI Image Sensors Using Test Photomasks

      
Application Number 18343390
Status Pending
Filing Date 2023-06-28
First Publication Date 2023-10-26
Owner KLA Corporation (USA)
Inventor
  • Huang, Haifeng
  • Kvamme, Damon
  • Shi, Rui-Fang

Abstract

A photomask includes a plurality of distinctly patterned regions to provide different respective intensities of extreme ultraviolet (EUV) light in response to illumination with an EUV beam. The photomask may be part of a system that also includes a time-delay-integration (TDI) inspection tool with an EUV light source and a TDI sensor. The EUV light source is to generate the EUV beam. The photomask is to be loaded into the TDI inspection tool. The system further includes a reference intensity detector to be mounted in the TDI inspection tool to measure intensities of EUV light collected from the photomask.

IPC Classes  ?

  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales 
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 1/58 - Absorbers, e.g. opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
  • G01N 21/33 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
  • G03F 1/24 - Reflection masks; Preparation thereof
  • G01N 21/93 - Detection standards; Calibrating

98.

LASER-SUSTAINED PLASMA SOURCE BASED ON COLLIDING LIQUID JETS

      
Application Number US2023018766
Publication Number 2023/205064
Status In Force
Filing Date 2023-04-17
Publication Date 2023-10-26
Owner KLA CORPORATION (USA)
Inventor
  • Szilagyi, John
  • Bezel, Ilya

Abstract

A laser-sustained broadband light source includes a gas containment structure and multiple jet nozzles. The jet nozzles are configured to direct multiple liquid jets of plasma-forming material in directions to collide with one another within the gas containment structure. The laser-sustained broadband light source further includes a laser pump source configured to generate an optical pump to sustain a plasma in a region of the gas containment structure at a collision point of the plurality of liquid jets and a light collector element configured to collect broadband light emitted from the plasma.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

99.

MEASUREMENT OF THICK FILMS AND HIGH ASPECT RATIO STRUCTURES

      
Application Number US2023018917
Publication Number 2023/205129
Status In Force
Filing Date 2023-04-18
Publication Date 2023-10-26
Owner KLA CORPORATION (USA)
Inventor
  • Wang, David Y.
  • Krishnan, Shankar

Abstract

The system includes a light source configured to emit light along an illumination path; a projection optical assembly disposed in the illumination path; a target disposed in the illumination path and configured to reflect the light along a collection path; a collection optical assembly disposed in the collection path; a detector disposed in the collection path and configured to detect the light reflected from the target and generate an output signal based on the detected light; and a processor in electronic communication with the detector and configured to generate a measurement of the target based on the output signal. The projection optical assembly defines a first numerical aperture at the target and the collection optical assembly defines a second numerical aperture at the target, and the first numerical aperture is slightly larger than the second numerical aperture for measurements of thick films and high aspect ratio structures.

IPC Classes  ?

  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • H01L 21/66 - Testing or measuring during manufacture or treatment

100.

Bandwidth Adjustment for Remote Control of a Manufacturing Tool

      
Application Number 18339784
Status Pending
Filing Date 2023-06-22
First Publication Date 2023-10-19
Owner KLA Corporation (USA)
Inventor Brain, Michael

Abstract

A non-transitory computer-readable storage medium stores one or more programs for execution by one or more processors of a computer system communicatively coupled with a manufacturing tool through one or more networks. The one or more programs include instructions for sending a series of frames showing data for the manufacturing tool to a client device for display. The client device is remote from a manufacturing facility in which the manufacturing tool is to be disposed. The one or more programs also include instructions for adjusting a bandwidth for one or more frames of the series of frames in response to receiving, from the client device, an indication of a user interaction with the client device. The instructions for sending the series of frames include instructions for transmitting, after receiving the indication, the one or more frames with the adjusted bandwidth to the client device for display.

IPC Classes  ?

  • H04L 67/12 - Protocols specially adapted for proprietary or special-purpose networking environments, e.g. medical networks, sensor networks, networks in vehicles or remote metering networks
  • H04L 67/025 - Protocols based on web technology, e.g. hypertext transfer protocol [HTTP] for remote control or remote monitoring of applications
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)
  1     2     3     ...     13        Next Page