KLA Corporation

United States of America

Back to Profile

1-100 of 669 for KLA Corporation Sort by
Query
Patent
United States - USPTO
Aggregations Reset Report
Date
New (last 4 weeks) 15
2024 April (MTD) 12
2024 March 12
2024 February 11
2024 January 5
See more
IPC Class
G06T 7/00 - Image analysis 123
G03F 7/20 - Exposure; Apparatus therefor 117
G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined 112
H01L 21/66 - Testing or measuring during manufacture or treatment 89
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components 63
See more
Status
Pending 186
Registered / In Force 483
Found results for  patents
  1     2     3     ...     7        Next Page

1.

SYSTEM AND METHOD FOR VACUUM ULTRAVIOLET LAMP ASSISTED IGNITION OF OXYGEN-CONTAINING LASER SUSTAINED PLASMA SOURCES

      
Application Number 18535840
Status Pending
Filing Date 2023-12-11
First Publication Date 2024-04-25
Owner KLA Corporation (USA)
Inventor
  • Szilagyi, John
  • Bezel, Ilya

Abstract

An illumination system includes a gas containment vessel configured to contain a gas. The illumination system also includes one or more pump sources configured to generate one or more pump beams. The illumination system includes an ozone generation unit including one or more illumination sources. The one or more illumination sources are configured to generate a beam of illumination of an energy sufficient for converting a portion of diatomic oxygen (O2) contained within the gas containment vessel to triatomic oxygen (O3). One or more energy sources are configured to ignite the plasma within the gas contained within the gas containment vessel via absorption of energy of the one or more energy sources by a portion of the triatomic oxygen, wherein the plasma emits broadband radiation.

IPC Classes  ?

  • H05H 1/46 - Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
  • C01B 13/10 - Preparation of ozone
  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

2.

GAS FLOW CONFIGURATIONS FOR SEMICONDUCTOR INSPECTIONS

      
Application Number 18452457
Status Pending
Filing Date 2023-08-17
First Publication Date 2024-04-25
Owner KLA Corporation (USA)
Inventor
  • Wang, Chunhai
  • Zhao, Guoheng
  • Romanovsky, Anatoly
  • Hao, Yihua
  • Ji, Monica

Abstract

Methods and systems for inspecting a specimen are provided. One system includes an inspection subsystem configured for directing light to an area on the specimen and for generating output responsive to light from the area on the specimen. The system also includes a first gas flow subsystem configured for replacing a gas in a first local volume surrounding the area on the specimen with a first medium that scatters less of the light than the gas. In addition, the system includes a second gas flow subsystem configured for replacing the gas in a second local volume proximate the first local volume with a second medium different than the first medium. The system further includes a computer subsystem configured for detecting abnormalities on the specimen based on the output.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

3.

SYSTEMS AND METHODS FOR GENERATING A FLAT-TOP ILLUMINATION BEAM BASED ON INTERLACING, INCOHERENTLY OVERLAPPING SPOTS

      
Application Number 18369609
Status Pending
Filing Date 2023-09-18
First Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor Lee, Chun Shen

Abstract

A flat-top beam generating system may include a beamsplitting apparatus including one or more beamsplitters to split an input beam into three or more sub-beams that propagate along optical paths with different optical path lengths. The system may further include a diffractive optical element (DOE) to diffract the three or more sub-beams into a plurality of diffracted sub-beams. The system may further include one or more optical elements configured to collect the plurality of diffracted sub-beams to provide a flat-top beam.

IPC Classes  ?

  • G02B 27/42 - Diffraction optics
  • G02B 27/10 - Beam splitting or combining systems
  • G02B 27/12 - Beam splitting or combining systems operating by refraction only

4.

IMAGE PRE-PROCESSING FOR OVERLAY METROLOGY USING DECOMPOSITION TECHNIQUES

      
Application Number 18371853
Status Pending
Filing Date 2023-09-22
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir

Abstract

A system may include a controller for receiving one or more images of a metrology target including periodic features with one or more known pitches, pre-processing the one or more images using a decomposition technique to generate one or more pre-processed images, and generating one or more metrology measurements for the metrology target based on the one or more pre-processed images. Pre-processing a particular image of the one or more images may include constructing one or more trajectory matrices from the particular image, generating reconstruction components associated with the particular image from the one or more trajectory matrices using the decomposition technique, and generating a particular one of the one or more pre-processed images by based on a subset of the reconstruction components including signals with at least one of the one or more known pitches.

IPC Classes  ?

  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods
  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/00 - Image analysis

5.

PLASMA HYPERMODEL INTEGRATED WITH FEATURE-SCALE PROFILE MODEL FOR ACCELERATED ETCH PROCESS DEVELOPMENT

      
Application Number 17982472
Status Pending
Filing Date 2022-11-07
First Publication Date 2024-04-11
Owner KLA CORPORATION (USA)
Inventor
  • Huard, Chad
  • Panneerchelvam, Premkumar
  • Huang, Shuo
  • Smith, Mark D.

Abstract

Plasma parameters at a surface of a wafer are determined with a plasma hypermodel based on plasma processing conditions. A post-processing profile can be predicted for the surface of the wafer with a feature-scale profile model. Correlations in the plasma hypermodel can be recalibrated if the post-processing profile is outside a convergence criterion of an experimental reference.

IPC Classes  ?

6.

CORRECTING TARGET LOCATIONS FOR TEMPERATURE IN SEMICONDUCTOR APPLICATIONS

      
Application Number 17959008
Status Pending
Filing Date 2022-10-03
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Moon, Min-Yeong
  • Rachinayani, Phalguna Kumar
  • Perrin, Jean-Christophe
  • Pandev, Stilian

Abstract

Methods and systems for determining information for a specimen are provided. One system includes an output acquisition subsystem configured to generate output for a specimen at one or more target locations on the specimen and one or more temperature sensors configured to measure one or more temperatures within the system. The system also includes a deep learning model configured for predicting error in at least one of the one or more target locations based on at least one of the one or more measured temperatures input to the deep learning model by the computer subsystem. The computer subsystem is configured for determining a corrected target location for the at least one of the one or more target locations by applying the predicted error to the at least one of the one or more target locations.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

7.

Magnetically Opposed, Iron Core Linear Motor Based Motion Stages For Semiconductor Wafer Positioning

      
Application Number 18375920
Status Pending
Filing Date 2023-10-02
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Pharand, Michel
  • Doll, Stephen
  • Nayfeh, Samir

Abstract

Methods and systems for realizing a high throughput wafer positioning system with high positioning accuracy are presented herein. The high throughput, high accuracy wafer positioning system is employed to measure structural and material characteristics (e.g., material composition, dimensional characteristics of structures and films, etc.) associated with different semiconductor fabrication processes. In one aspect, iron core linear motor assemblies are arranged in a magnetically opposed configuration such that the magnetic attraction forces inherent to each opposing iron core linear motor assembly largely cancel one another. The reduced force applied to sensitive stage frame elements, in turn, reduces induced deformations and stage positioning errors. In some embodiments, a wafer positioning system includes stacked magnetically opposed long stroke stages. In some of these embodiments, both magnetically opposed long stroke stages employ magnet tracks mechanically coupled to the intermediate frame of the stacked stage assembly.

IPC Classes  ?

  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • H02K 3/47 - Air-gap windings, i.e. iron-free windings
  • H02K 7/09 - Structural association with bearings with magnetic bearings
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors

8.

IMPROVED TARGETS FOR DIFFRACTION-BASED OVERLAY ERROR METROLOGY

      
Application Number 17923471
Status Pending
Filing Date 2022-10-06
First Publication Date 2024-04-11
Owner KLA Corporation (USA)
Inventor
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Negri, Daria
  • Hajaj, Eitan
  • Levinski, Vladimir

Abstract

A method for semiconductor metrology includes depositing first and second overlying film layers on a semiconductor substrate and patterning the layers to define an overlay target. The target includes a first grating pattern in the first layer, including at least a first linear grating oriented in a first direction and at least a second linear grating oriented in a second direction perpendicular to the first direction, and a second grating pattern in the second layer, including at least a third linear grating identical to the first linear grating and a fourth linear grating identical to the second linear grating. The second grating pattern has a nominal offset relative to the first grating pattern by first and second displacements in the first and second directions, respectively. A scatterometric image of the substrate is captured and processed to estimate an overlay error between the patterning of the first and second layers.

IPC Classes  ?

  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales 
  • H01L 21/66 - Testing or measuring during manufacture or treatment

9.

FRICTIONLESS DESIGN OF HIGH-PRESSURE RECIRCULATION THERMO-PUMP

      
Application Number 18368242
Status Pending
Filing Date 2023-09-14
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor Shchemelinin, Anatoly

Abstract

A thermo-pump includes a sealed casing, divided into a main casing volume and one or more secondary volumes. A thermo-pump includes a shaft. A thermo-pump includes a displacer, coupled to the shaft and oscillates to create a pressure gain between a high-pressure phase and a low-pressure phase. A thermo-pump includes one or more displacer rings, wherein the displacer rings are made from a material with thermal properties below a threshold. A thermo-pump includes an insert, wherein the insert is configured to form a perimeter of the main casing volume, wherein the insert is made from a material with thermal properties below the threshold. A thermo-pump includes one or more bushings, wherein the one or more bushing separate the main casing volume and the one or more secondary volumes. A thermo-pump includes one or more gas bearings configured to prevent contact between the shaft and the sealed casing.

IPC Classes  ?

  • F03G 7/06 - Mechanical-power-producing mechanisms, not otherwise provided for or using energy sources not otherwise provided for using expansion or contraction of bodies due to heating, cooling, moistening, drying, or the like
  • H01J 61/28 - Means for producing, introducing, or replenishing gas or vapour during operation of the lamp

10.

DISTORTION REDUCTION IN A MULTI-BEAM IMAGING SYSTEM

      
Application Number 17955252
Status Pending
Filing Date 2022-09-28
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor
  • Cook, Michael I.
  • Shriyan, Sameet K.
  • Dowling, David

Abstract

A system may include a controller couplable to an imaging sub-system utilizing multiple particle beams, where the imaging sub-system includes one or more control elements to manipulate the two or more particle beams that are adjustable with two or more control parameters. The controller may select values of the two or more control parameters by iteratively performing steps until one or more termination conditions are met. For example, the controller may receive measurements of changes of distortions of the particle beams resulting from individual adjustments of the control parameters. The controller may further calculate values of the control parameters that reduce the distortions of the particle beams based on the changes of the distortions resulting from the individual adjustments. The controller may further direct the adjustment of the values of the control parameters to the calculated values.

IPC Classes  ?

  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/244 - Detectors; Associated components or circuits therefor

11.

MOSAIC OVERLAY TARGETS

      
Application Number 18131163
Status Pending
Filing Date 2023-04-05
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor Feler, Yoel

Abstract

A mosaic overlay target may include two or more cell sets distributed across a sample, wherein each cell set includes one or more cells, where each cell set is oriented to have at least one of mirror symmetry with respect to a central axis of the mosaic overlay target or rotational symmetry with respect to a central point of the mosaic overlay target. The cell sets may be configured according to a metrology recipe such that images of the mosaic overlay target generated based on the metrology recipe include metrology data suitable for two or more overlay measurements. A particular one of the overlay measurements may be based on portions of the images associated with at least one of the cell sets. At least two of the two or more overlay measurements may be alternative measurements of a common property of the sample.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures

12.

INSPECTION OF ADAPTIVE PATTERNED WORKPIECES WITH DYNAMIC DESIGN AND DEEP LEARNING-BASED RENDERING

      
Application Number 18374106
Status Pending
Filing Date 2023-09-28
First Publication Date 2024-04-04
Owner KLA Corporation (USA)
Inventor
  • Perali, Pavan Kumar
  • Muthukrishnan, Sankar
  • Bhatt, Hemang
  • Sahadevareddy, Adithya Swaroop

Abstract

A reference optical image of a die is determined based on a design file with a deep convolutional neural network for image-to-image translation. The reference optical image is subtracted from the target image thereby generating a difference image. After applying a care area mask, the difference image can be binarized. The resulting binarized defective image can be used for optical inspection.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 5/50 - Image enhancement or restoration by the use of more than one image, e.g. averaging, subtraction
  • G06V 10/24 - Aligning, centring, orientation detection or correction of the image

13.

PULSE-ASSISTED LASER-SUSTAINED PLASMA IN FLOWING HIGH-PRESSURE LIQUIDS

      
Application Number 18372590
Status Pending
Filing Date 2023-09-25
First Publication Date 2024-03-28
Owner KLA Corporation (USA)
Inventor
  • Bezel, Ilya
  • Khodykin, Oleg
  • Szilagyi, John

Abstract

A pulse-assisted LSP broadband light source in flowing high-pressure liquid or supercritical fluid is disclosed. The light source includes a fluid containment structure for containing a high-pressure liquid or supercritical fluid. The light source includes a primary laser pump source and a high-repetition pulse-assisting laser light source. wherein the primary laser pump source is configured to direct a primary pump beam into a plasma-forming region of the fluid. The primary beam and the pulsed-assisting beam are configured to sustain a plasma within the plasma-forming region of the fluid within the fluid containment structure. A light collector element is configured to collect broadband light emitted from the plasma for use in downstream applications.

IPC Classes  ?

  • H01J 61/02 - Gas-discharge or vapour-discharge lamps - Details
  • H01J 61/16 - Selection of substances for gas fillings; Specified operating pressure or temperature having helium, argon, neon, krypton, or xenon as the principle constituent
  • H01J 61/52 - Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space
  • H01S 3/094 - Processes or apparatus for excitation, e.g. pumping using optical pumping by coherent light

14.

Calibration Of Parametric Measurement Models Based On In-Line Wafer Measurement Data

      
Application Number 18244749
Status Pending
Filing Date 2023-09-11
First Publication Date 2024-03-28
Owner KLA Corporation (USA)
Inventor
  • Lin, Brian C.
  • Wu, David
  • Wu, Song
  • Zhan, Tianrong
  • Chiu, Emily
  • Lagodzinski, Andrew

Abstract

Methods and systems for calibrating simulated measurement signals generated by a parametric measurement model are described herein. Regression on real measurement signals is performed using a parametric model. The residual fitting error between the real measurement signals and simulated measurement signals generated by the parametric model characterizes the error of the parametric model at each set of estimated values of the one or more floating parameters. Simulated measurement signals are generated by the parametric model at specified values of the floating parameters. A residual fitting error associated with the simulated measurement signals generated at the specified values of the floating parameters is derived from the residual fitting errors calculated by the regression on the real measurement signals. The simulated measurement signals are calibrated by adding the residual fitting error to the uncalibrated, simulated measurement signals. The calibrated, simulated measurement signals improve the accuracy of measurements and measurement recipe development.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/31 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
  • G01N 21/47 - Scattering, i.e. diffuse reflection

15.

Water cooled, air bearing based rotating anode x-ray illumination source

      
Application Number 17950768
Grant Number 11955308
Status In Force
Filing Date 2022-09-22
First Publication Date 2024-03-28
Grant Date 2024-04-09
Owner KLA Corporation (USA)
Inventor Pharand, Michel

Abstract

Methods and systems for realizing a high speed, rotating anode based x-ray illumination source suitable for high throughput x-ray metrology are presented herein. A high speed rotating anode includes a water cooled rotating platen supported by radial and thrust air bearings employing cascaded differential pumping. A very high bending stiffness of the rotating assembly is achieved by spacing radial air bearings far apart and locating a rotary motor and thrust bearings between the radial air bearings. The high bending stiffness increases the mechanical stability of the rotating assembly during high speed operation, and thus decreases vibration at the location of impingement of the electron beam on the rotating anode material. In some embodiments, magnetic thrust bearings are employed and the air gap is controlled to maintain a desired gap over an operational range of up to three millimeters.

IPC Classes  ?

  • H01J 35/10 - Rotary anodes; Arrangements for rotating anodes; Cooling rotary anodes
  • F16C 33/10 - Construction relative to lubrication

16.

DEEP LEARNING MODEL-BASED ALIGNMENT FOR SEMICONDUCTOR APPLICATIONS

      
Application Number 18178528
Status Pending
Filing Date 2023-03-05
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Chen, Hong
  • Fan, Ziqi
  • Wallingford, Richard
  • Li, Xiaochun
  • Park, Sangbong

Abstract

Methods and systems for deep learning alignment for semiconductor applications are provided. One method includes transforming design information for an alignment target on a specimen to a predicted image of the alignment target by inputting the design information into a deep learning model and aligning the predicted image to an image of the alignment target on the specimen generated by an imaging subsystem. The method also includes determining an offset between the predicted image and the image generated by the imaging subsystem based on results of the aligning and storing the determined offset as an align-to-design offset for use in a process performed on the specimen with the imaging subsystem.

IPC Classes  ?

  • G06T 7/30 - Determination of transform parameters for the alignment of images, i.e. image registration
  • G06T 7/00 - Image analysis

17.

SYSTEM AND METHOD FOR ACQUIRING ALIGNMENT MEASUREMENTS OF STRUCTURES OF A BONDED SAMPLE

      
Application Number 17946935
Status Pending
Filing Date 2022-09-16
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Shuall, Nimrod
  • Pio, Jordan
  • Laske, Frank
  • Eyring, Stefan
  • Bachar, Ohad

Abstract

Systems and methods for acquiring measurements of structures of a bonded sample are disclosed. Such systems and methods may include determining a first registration measurement of a first registration structure and a first interface target structure of a first sample, and a second registration measurement of a second sample prior to coupling the samples together. Such systems and methods may include, after such a coupling of the samples, determining a third registration measurement of the coupled sample at least partially by measuring the first registration structure through the top face of the first sample. Such systems and methods may include acquiring an overlay measurement based on the first registration measurement, the second registration measurement, and the third registration measurement. Such systems and methods may include adjusting an inter-sample coupling recipe based on the overlay measurement, where the inter-sample coupling recipe may include a final bonding recipe.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 15/00 - Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons

18.

SYSTEM AND METHOD FOR DETERMINING POST BONDING OVERLAY

      
Application Number 18520244
Status Pending
Filing Date 2023-11-27
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Zach, Franz
  • Smith, Mark D.
  • Shen, Xiaomeng
  • Saito, Jason
  • Owen, David

Abstract

A wafer shape metrology system includes a wafer shape metrology sub-system configured to perform one or more stress-free shape measurements on a first wafer, a second wafer, and a post-bonding pair of the first and second wafers. The wafer shape metrology system includes a controller communicatively coupled to the wafer shape metrology sub-system. The controller is configured to receive stress-free shape measurements from the wafer shape sub-system; predict overlay between one or more features on the first wafer and the second wafer based on the stress-free shape measurements of the first wafer, the second wafer, and the post-bonding pair of the first wafer and the second wafer; and provide a feedback adjustment to one or more process tools based on the predicted overlay. Additionally, feedforward and feedback adjustments may be provided to one or more process tools.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 9/02017 - Interferometers characterised by the beam path configuration with multiple interactions between the target object and light beams, e.g. beam reflections occurring from different locations
  • G01B 11/16 - Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge
  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

19.

METHOD AND SYSTEM OF IMAGE-FORMING MULTI-ELECTRON BEAMS

      
Application Number 17829230
Status Pending
Filing Date 2022-05-31
First Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Jiang, Xinrong
  • Sears, Christopher
  • Jiang, Youfei
  • Shriyan, Sameet K.
  • Lee, Jeong Ho
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

A multi-electron beam system that forms hundreds of beamlets can focus the beamlets, reduce Coulomb interaction effects, and improve resolutions of the beamlets. A Wien filter with electrostatic and magnetic deflection fields can separate the secondary electron beams from the 5 primary electron beams and can correct the astigmatism and source energy dispersion blurs for all the beamlets simultaneously.

IPC Classes  ?

  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

20.

DYNAMIC CORRECTION FOR AN ACOUSTO-OPTIC DEFLECTOR

      
Application Number 17903734
Status Pending
Filing Date 2022-09-06
First Publication Date 2024-03-21
Owner KLA CORPORATION (USA)
Inventor
  • Peled, Itay
  • Linden, John

Abstract

An optical scanner may include a sampler to receive an optical beam and provide a sampled beam including a portion of the optical beam, a dispersive element to spectrally disperse the sampled beam along a dispersion direction, one or more detectors to receive at least a portion of the sampled beam dispersed along the dispersion direction, one or more acousto-optic deflectors (AODs) configured to deflect the optical beam from the sampler, and a controller. The controller may determine a center of mass of the sampled beam dispersed along the dispersion direction based on signals from at least one of the one or more detectors, and generate a drive signal for at least one of the one or more AODs to deflect the optical beam from the sampler along a selected deflection angle based on the center of mass.

IPC Classes  ?

  • G02F 1/33 - Acousto-optical deflection devices
  • G01J 1/42 - Photometry, e.g. photographic exposure meter using electric radiation detectors

21.

HIGH-RESOLUTION EVALUATION OF OPTICAL METROLOGY TARGETS FOR PROCESS CONTROL

      
Application Number 17948151
Status Pending
Filing Date 2022-09-19
First Publication Date 2024-03-21
Owner KLA Corporation (USA)
Inventor
  • Gutman, Nadav
  • Klein, Dana
  • Czerkas, Slawomir
  • Simon, Yossi
  • Laske, Frank
  • Wittkoetter, Mirko

Abstract

A metrology system may include an optical metrology sub-system to generate optical metrology measurements of optical metrology based on features of the optical metrology targets associated with at least one optical pitch and an additional metrology sub-system to generate additional metrology measurements of the optical metrology targets, where the additional metrology measurements have a higher resolution than the optical metrology measurements, and where the additional metrology sub-system further measures deviations of the optical metrology targets from a reference design. The system may further include a controller to generate accuracy measurements for the optical metrology targets based on the measurements, identify variations of a lithography process based on the deviations, correlate the accuracy measurements to the variations, and adjust at least one of the optical metrology sub-system, a lithography tool, or the reference design based on the correlations.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

22.

Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures

      
Application Number 18136739
Status Pending
Filing Date 2023-04-19
First Publication Date 2024-03-14
Owner KLA Corporation (USA)
Inventor
  • Hench, John
  • Krishna, Akshay
  • Liman, Christopher
  • Smith, Jeremy
  • Yin, Liang
  • Park, Hyowon
  • Wang, Tianhan
  • Chen, Boxue

Abstract

Methods and systems for performing model-less measurements of semiconductor structures based on scatterometry measurement data are described herein. Scatterometry measurement data is processed directly, without the use of a traditional measurement model. Measurement sensitivity is defined by the changes in detected diffraction images at one or more non-zero diffraction orders over at least two different illumination incidence angles. Discrete values of a scalar function are determined directly from measured images at each incidence angle. A continuous mathematical function is fit to the set of discrete values of the scalar function determined at each incidence angle. A value of a parameter of interest is determined based on analysis of the mathematical function. In some embodiments, the scalar function includes a weighting function, and the weighting values associated with weighting function are optimized to yield an accurate fit of the mathematical function to the scalar values.

IPC Classes  ?

  • G01N 21/47 - Scattering, i.e. diffuse reflection

23.

Confocal Chromatic Metrology for EUV Source Condition Monitoring

      
Application Number 17944715
Status Pending
Filing Date 2022-09-14
First Publication Date 2024-03-14
Owner KLA Corporation (USA)
Inventor
  • Tae, Patrick
  • Su, Caijun
  • Jagannath, Ravichandra
  • Ahr, Brian

Abstract

A light source includes a rotatable drum to be coated with xenon ice and illuminated by a laser beam to produce a plasma. The drum may also be translatable. The light source further includes a confocal chromatic sensor to measure distances from the confocal chromatic sensor to the rotatable drum. The confocal chromatic sensor may include a sensor head to focus light onto the rotatable drum and to detect reflected light from the rotatable drum. The sensor head and the rotatable drum may be disposed within a vacuum chamber.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • H05H 1/46 - Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

24.

AUTO-FOCUS SENSOR IMPLEMENTATION FOR MULTI-COLUMN MICROSCOPES

      
Application Number 17930332
Status Pending
Filing Date 2022-09-07
First Publication Date 2024-03-07
Owner KLA Corporation (USA)
Inventor
  • Petrone, Nicholas
  • Muray, Lawrence
  • Brodie, Alan

Abstract

An array of localized auto-focus sensors provides direct measurement of the working distance between each microscope column in the array and the substrate being imaged below. The auto-focus sensors measure the working distance between each column and the imaging substrate as it passes over a point on the substrate to be imaged. The working distance measurement from the sensors is input into a control system, which in turn outputs the required working distance adjustment to the microscope column. The control system independently adjusts microscope working distance and/or physical distance of an individual microscope column in a multi-column microscope based on auto-focus sensor input. The individual microscope columns in the multi-column microscope can also be used as the auto-focus sensor itself.

IPC Classes  ?

  • H01J 37/21 - Means for adjusting the focus
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

25.

NOISE DIAGNOSTICS FOR AN ELECTRON BEAM INSPECTION SYSTEM WITH SWATHING

      
Application Number 17896067
Status Pending
Filing Date 2022-08-25
First Publication Date 2024-02-29
Owner KLA Corporation (USA)
Inventor
  • Xiong, Bo
  • Yang, Hedong
  • Wang, Kay

Abstract

Parameters from an inspection image representing mechanical vibrations and electromagnetic interference can be determined. An X-direction vibration spectrum can be determined based on the X-direction offsets. A Y-direction vibration spectrum can be determined based on the Y-direction offsets. The determinations can be based on a swath image of a workpiece, such as a semiconductor wafer or reticle.

IPC Classes  ?

  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G06T 7/00 - Image analysis
  • G06T 7/11 - Region-based segmentation
  • G06T 7/13 - Edge detection
  • G06T 7/70 - Determining position or orientation of objects or cameras

26.

MULTI-PITCH GRID OVERLAY TARGET FOR SCANNING OVERLAY METROLOGY

      
Application Number 18234773
Status Pending
Filing Date 2023-08-16
First Publication Date 2024-02-29
Owner KLA Corporation (USA)
Inventor
  • Lubashevsky, Yuval
  • Gdor, Itay
  • Negri, Daria
  • Hajaj, Eitan

Abstract

An overlay metrology system with pitches in multiple directions in a single cell is disclosed. The overlay target may, according to a metrology recipe, include a multi-layer structure on two or more layers of a cell of the sample. The multi-layer structure may include structures in each layer having one or more pitches in one or more directions of periodicity. The multi-layer structure may include structures with a first pitch in a first direction, a second pitch in a second direction, a third pitch in the first direction, and a fourth pitch in the second direction. At least one of the first pitch or the third pitch may be different than at least one of the second pitch or the fourth pitch.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01B 9/02 - Interferometers

27.

Back-Illuminated Sensor And A Method Of Manufacturing A Sensor Using A Silicon On Insulator Wafer

      
Application Number 18502059
Status Pending
Filing Date 2023-11-05
First Publication Date 2024-02-22
Owner KLA Corporation (USA)
Inventor
  • Haddadi, Abbas
  • Yalamanchili, Sisir
  • Fielden, John
  • Chuang, Yung-Ho Alex

Abstract

An image sensor is fabricated by first heavily p-type doping the thin top monocrystalline silicon substrate of an SOI wafer, then forming a relatively lightly p-doped epitaxial layer on a top surface of the top silicon substrate, where p-type doping levels during these two processes are controlled to produce a p-type dopant concentration gradient in the top silicon substrate. Sensing (circuit) elements and associated metal interconnects are fabricated on the epitaxial layer, then the handling substrate and oxide layer of the SOI wafer are at least partially removed to expose a lower surface of either the top silicon substrate or the epitaxial layer, and then a pure boron layer is formed on the exposed lower surface. The p-type dopant concentration gradient monotonically decreases from a maximum level near the top-silicon/epitaxial-layer interface to a minimum concentration level at the epitaxial layer's upper surface.

IPC Classes  ?

28.

Methods And Systems For X-Ray Scatterometry Measurements Employing A Machine Learning Based Electromagnetic Response Model

      
Application Number 17888776
Status Pending
Filing Date 2022-08-16
First Publication Date 2024-02-22
Owner KLA Corporation (USA)
Inventor
  • Mahvash, Mohsen
  • Hench, John J.
  • Jafarzanjani, Samad
  • Shen, Rebecca
  • Liman, Christopher D.
  • Chen, Boxue

Abstract

Methods and systems for estimating values of parameters of interest from X-ray scatterometry measurements with reduced computational effort are described herein. Values of parameters of interest are estimated by regression using a trained, machine learning (ML) based electromagnetic (EM) response model. A training data set includes sets of Design Of Experiments (DOE) values of parameters of interest and corresponding DOE values of a plurality of electromagnetic response metrics. In some examples, values of parameters of interest are determined from measured images based on regression using a sequence of trained ML based electromagnetic response models. In some examples, input values employed to train the ML based EM response model are scaled based on model output variation.

IPC Classes  ?

  • G01N 23/20066 - Measuring inelastic scattering of gamma rays, e.g. Compton effect

29.

Lithography mask repair by simulation of photoresist thickness evolution

      
Application Number 18231413
Grant Number 11966156
Status In Force
Filing Date 2023-08-08
First Publication Date 2024-02-22
Grant Date 2024-04-23
Owner KLA Corporation (USA)
Inventor
  • Vukkadala, Pradeep
  • Parsey, Guy
  • Bai, Kunlun
  • Li, Xiaohan
  • Burov, Anatoly
  • Zhang, Cao
  • Graves, John S.
  • Biafore, John

Abstract

A system for mask design repair may develop a simulation-based model of a layer thickness after one or more process steps for fabricating features on a sample, develop a transformed model of the fabrication process that emulates the simulation-based model and has a faster evaluation speed than the simulation-based model, and where the inputs to the transformed model include the input mask design, and where the outputs of the transformed model include one or more output parameters associated with fabrication of the input mask design as well as one or more sensitivity metrics describing sensitivities of the one or more output parameters to variations of the input mask design. The system may further receive a candidate mask design and generate a repaired mask design based on the transformed model and the candidate mask design.

IPC Classes  ?

  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging

30.

DETECTING DEFECTS ON SPECIMENS

      
Application Number 17978713
Status Pending
Filing Date 2022-11-01
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Yu, Li
  • Si, Wei
  • Verma, Prashant
  • Li, Xiaochun
  • Park, Sangbong

Abstract

Methods and systems for detecting defects on a specimen are provided. One system performs double detection in which at least one of the reference images compared to a test image is a computed reference image generated from multiple images corresponding to the test image. The other reference image may or may not be computed from more than one of the multiple images. Such a computed reference image may also be a median-based computed reference generated from multiple-median images generated from different subsets of images in a job of images generated by an inspection subsystem for a specimen. Such a system may also group images for a die row on a specimen into different jobs based on color so that different jobs have different color value ranges. Such grouping may also be performed so that each of the jobs includes a number of images greater than a predetermined, minimum job size.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods

31.

Methods And Systems For Systematic Error Compensation Across A Fleet Of Metrology Systems Based On A Trained Error Evaluation Model

      
Application Number 18229606
Status Pending
Filing Date 2023-08-02
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Di, Ming
  • Chang, Yih-Chung
  • Chen, Xi
  • Hu, Dawei
  • Xu, Ce
  • Huang, Bowei
  • Baskin, Igor
  • Neil, Mark Allen
  • Zhang, Tianhao
  • Sadiq, Malik Karman
  • Krishnan, Shankar
  • Tsai, Jenching
  • Ygartua, Carlos L.
  • Tsao, Yao-Chung
  • Zhao, Qiang

Abstract

Methods and systems for compensating systematic errors across a fleet of metrology systems based on a trained error evaluation model to improve matching of measurement results across the fleet are described herein. In one aspect, the error evaluation model is a machine learning based model trained based on a set of composite measurement matching signals. Composite measurement matching signals are generated based on measurement signals generated by each target measurement system and corresponding model-based measurement signals associated with each target measurement system and reference measurement system. The training data set also includes an indication of whether each target system is operating within specification, an indication of the values of system model parameter of each target system, or both. In some embodiments, the composite measurement matching signals driving the training of the error evaluation model are weighted differently, for example, based on measurement sensitivity, measurement noise, or both.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01L 21/66 - Testing or measuring during manufacture or treatment

32.

SYSTEM AND METHOD FOR MITIGATING OVERLAY DISTORTION PATTERNS CAUSED BY A WAFER BONDING TOOL

      
Application Number 18378052
Status Pending
Filing Date 2023-10-09
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Zach, Franz
  • Smith, Mark D.
  • Gronheid, Roel

Abstract

A system includes a wafer shape metrology sub-system configured to perform one or more shape measurements on post-bonding pairs of wafers. The system includes a controller communicatively coupled to the wafer shape metrology sub-system. The controller receives a set of measured distortion patterns. The controller applies a bonder control model to the measured distortion patterns to determine a set of overlay distortion signatures. The bonder control model is made up of a set of orthogonal wafer signatures that represent the achievable adjustments. The controller determines whether the set of overlay distortion signatures associated with the measured distortion patterns are outside tolerance limits provides one or more feedback adjustments to the bonder tool.

IPC Classes  ?

  • G05B 19/18 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

33.

SCATTEROMETRY OVERLAY METROLOGY WITH ORTHOGONAL FINE-PITCH SEGMENTATION

      
Application Number 17885909
Status Pending
Filing Date 2022-08-11
First Publication Date 2024-02-15
Owner KLA Corporation (USA)
Inventor
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon

Abstract

An overlay metrology target may include grating-over-grating structures formed from a lower grating structure with a first coarse pitch in a first sample layer and an upper grating structure with a second coarse pitch in a second sample layer, where the upper and lower grating structures overlap on the sample. At least one of the upper grating structure or the lower grating structure may include features with a fine pitch smaller than a wavelength of an illumination beam and arranged to rotate first-order diffraction of the illumination beam associated with at least one of the first or second coarse pitches with respect to at least one of specular reflection from a top surface of the sample or zero-order diffraction from the one or more grating structures. Overlay between the first and second layers of the sample is determinable from an image of the grating structures based on the first-order diffraction.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

34.

PHOTOLUMINESCENCE FOR SEMICONDUCTOR YIELD RELATED APPLICATIONS

      
Application Number 18358841
Status Pending
Filing Date 2023-07-25
First Publication Date 2024-02-08
Owner KLA Corporation (USA)
Inventor
  • Xu, James
  • Shortt, David W.
  • Ding, Yiwu

Abstract

Methods and systems for determining information for a specimen are provided. Certain embodiments relate to detecting photoluminescence for applications such as inspection and/or metrology of electro-optically active devices or advanced packaging devices. One embodiment of a system includes an illumination subsystem configured for directing light having one or more illumination wavelengths to a specimen and a detection subsystem configured for detecting photoluminescence from the specimen. The system also includes a computer subsystem configured for determining information for the specimen from output generated by the detection subsystem responsive to the detected photoluminescence.

IPC Classes  ?

35.

METROLOGY TARGET FOR ONE-DIMENSIONAL MEASUREMENT OF PERIODIC MISREGISTRATION

      
Application Number 18144540
Status Pending
Filing Date 2023-05-08
First Publication Date 2024-02-01
Owner KLA Corporation (USA)
Inventor
  • Feler, Yoel
  • Ghinovker, Mark

Abstract

A metrology target includes a first target structure set having one or more first target structures formed within at least one of a first working zone or a second working zone of a sample. The metrology target includes a second target structure set having one or more second target structures formed within at least one of the first working zone or the second working zone. The first working zone may include a center of symmetry that overlaps with a center of symmetry of the second working zone when an overlay error of one or more layers of the sample is not present. The metrology target may additionally include a third target structure set, a fourth target structure set, or a fifth target structure set.

IPC Classes  ?

  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G06T 7/00 - Image analysis

36.

METROLOGY SAMPLING PLANS FOR ONLY OUT OF SPECIFICATION DETECTION

      
Application Number 18353098
Status Pending
Filing Date 2023-07-16
First Publication Date 2024-02-01
Owner KLA Corporation (USA)
Inventor
  • Anis, Fatima
  • Brinster, Irina

Abstract

Methods and systems for determining information for a specimen are provided. One method includes generating a sampling plan for only out of specification detection of a characteristic of specimens in a metrology process. The method also includes generating output for the specimens by performing the metrology process on the specimens with the generated sampling plan. In addition, the method includes determining the characteristic of the specimen based on the generated output and detecting if the characteristic of one or more of the specimens is out of specification based on the determined characteristic of the specimens. The embodiments described herein are particularly suitable for overlay metrology with substantially sparse sampling plans configured for only out of specification detection of the overlay.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G01N 35/00 - Automatic analysis not limited to methods or materials provided for in any single one of groups ; Handling materials therefor

37.

3D PROFILOMETRY WITH A LINNIK INTERFEROMETER

      
Application Number 17878415
Status Pending
Filing Date 2022-08-01
First Publication Date 2024-02-01
Owner KLA CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Grauer, Yoav
  • Eisenbach, Shlomo
  • Hiebert, Stephen
  • Safrani, Avner
  • Gronheid, Roel

Abstract

Systems and methods for generating volumetric data are disclosed. Such systems and methods may include scanning a sample at a plurality of focal planes located along a depth direction of the sample. Such systems and methods may include generating, via a detector of a metrology sub-system, a plurality of images of a volumetric field of view of the sample at the plurality of focal planes. Such systems and methods may include aggregating the plurality of images to generate volumetric data of the volumetric field of view of the sample. The metrology sub-system may include a Linnik interferometer.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G01B 17/06 - Measuring arrangements characterised by the use of infrasonic, sonic, or ultrasonic vibrations for measuring contours or curvatures
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

38.

MASSIVE OVERLAY METROLOGY SAMPLING WITH MULTIPLE MEASUREMENT COLUMNS

      
Application Number 18376703
Status Pending
Filing Date 2023-10-04
First Publication Date 2024-01-25
Owner KLA Corporation (USA)
Inventor
  • Madsen, Jonathan
  • Shchegrov, Andrei V.
  • Manassen, Amnon
  • Hill, Andrew V.
  • Simon, Yossi
  • Laredo, Gilad
  • Uziel, Yoram

Abstract

A multi-column metrology tool may include two or more measurement columns distributed along a column direction, where the two or more measurement columns simultaneously probe two or more measurement regions on a sample including metrology targets. A measurement column may include an illumination sub-system to direct illumination to the sample, a collection sub-system including a collection lens to collect measurement signals from the sample and direct it to one or more detectors, and a column-positioning sub-system to adjust a position of the collection lens. A measurement region of a measurement column may be defined by a field of view of the collection lens and a range of the positioning system in the lateral plane. The tool may further include a sample-positioning sub-system to scan the sample along a scan path different than the column direction to position metrology targets within the measurement regions of the measurement columns for measurements.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

39.

System and method for determining target feature focus in image-based overlay metrology

      
Application Number 18097438
Grant Number 11921825
Status In Force
Filing Date 2023-01-16
First Publication Date 2024-01-18
Grant Date 2024-03-05
Owner KLA Corporation (USA)
Inventor
  • Lavert, Etay
  • Manassen, Amnon
  • Simon, Yossi
  • Sanko, Dimitry
  • Safrani, Avner

Abstract

A metrology system includes one or more through-focus imaging metrology sub-systems communicatively coupled to a controller having one or more processors configured to receive a plurality of training images captured at one or more focal positions. The one or more processors may generate a machine learning classifier based on the plurality of training images. The one or more processors may receive one or more target feature selections for one or more target overlay measurements corresponding to one or more target features. The one or more processors may determine one or more target focal positions based on the one or more target feature selections using the machine learning classifier. The one or more processors may receive one or more target images captured at the one or more target focal positions, the target images including the one or more target features of the target specimen, and determine overlay based thereon.

IPC Classes  ?

  • G06N 20/00 - Machine learning
  • G06F 3/0481 - Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
  • G06F 18/214 - Generating training patterns; Bootstrap methods, e.g. bagging or boosting
  • G06F 18/24 - Classification techniques
  • G06F 18/40 - Software arrangements specially adapted for pattern recognition, e.g. user interfaces or toolboxes therefor
  • G06N 5/04 - Inference or reasoning models
  • G06T 11/00 - 2D [Two Dimensional] image generation

40.

MINIATURE ELECTRON OPTICAL COLUMN WITH A LARGE FIELD OF VIEW

      
Application Number 17862052
Status Pending
Filing Date 2022-07-11
First Publication Date 2024-01-11
Owner KLA CORPORATION (USA)
Inventor
  • Brodie, Alan D.
  • Muray, Lawrence P.
  • Gerling, John

Abstract

A miniature electron optical column apparatus is disclosed. The apparatus may include a set of electron-optical elements configured to direct a primary electron beam to a sample. The set of electron-optical elements may include an objective lens. The apparatus may also include a deflection sub-system. The deflection sub-system may include one or more pre-lens deflectors positioned between an electron beam source and the objective lens. The deflection sub-system may also include a post-lens deflector positioned between the objective lens and the sample. The deflection sub-system may also include a post-lens miniature optical element positioned between the objective lens and the sample.

IPC Classes  ?

  • H01J 37/141 - Electromagnetic lenses
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators

41.

UNSUPERVISED OR SELF-SUPERVISED DEEP LEARNING FOR SEMICONDUCTOR-BASED APPLICATIONS

      
Application Number 17671519
Status Pending
Filing Date 2022-02-14
First Publication Date 2024-01-11
Owner KLA Corporation (USA)
Inventor
  • Zhang, Jing
  • Theagarajan, Rajkumar
  • Dong, Yujie
  • Song, John
  • Bhaskar, Kris

Abstract

Methods and systems for determining information for a specimen are provided. One system includes a computer subsystem and one or more components executed by the computer subsystem that include a deep learning (DL) model trained without labeled data (e.g., in an unsupervised or self-supervised manner) and configured to generate a reference for a specimen from one or more inputs that include at least a specimen image or data generated from the specimen image. The computer subsystem is configured for determining information for the specimen from the reference and at least the specimen image or the data generated from the specimen image.

IPC Classes  ?

42.

Reference image grouping in overlay metrology

      
Application Number 18101369
Grant Number 11861824
Status In Force
Filing Date 2023-01-25
First Publication Date 2024-01-02
Grant Date 2024-01-02
Owner KLA Corporation (USA)
Inventor
  • Peled, Einat
  • Cohen, Naama
  • Lamhot, Yuval

Abstract

An overlay metrology system may include a controller for receiving metrology data associated with a plurality of overlay targets on one or more samples; generating a reference metric for at least some of the plurality of overlay targets based on the metrology data, where the reference metric is associated with one or more properties of the respective overlay targets that contributes to overlay error; classifying the plurality of overlay targets into one or more groups based on the reference metrics calculated for the plurality of overlay targets; generating a reference image for at least some of the one or more groups; generating corrected metrology data using the associated reference image for at least some of the one or more groups; and generating overlay measurements for the plurality of overlay targets based on the corrected metrology data.

IPC Classes  ?

  • G06K 9/00 - Methods or arrangements for reading or recognising printed or written characters or for recognising patterns, e.g. fingerprints
  • G06T 7/00 - Image analysis

43.

Image Modeling-Assisted Contour Extraction

      
Application Number 17849554
Status Pending
Filing Date 2022-06-24
First Publication Date 2023-12-28
Owner KLA CORPORATION (USA)
Inventor
  • Eyring, Stefan
  • Chen, Zhijin
  • Laske, Frank

Abstract

A wafer metrology tool, such as a scanning electron microscope, can generate an image of a structure on a wafer. A simulated image of the structure also is determined from a design of the wafer. A contour of the structure in the image and a contour of the structure in the simulated image are determined. These contours are compared.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G06T 7/00 - Image analysis

44.

METHOD AND SYSTEM FOR CLEANING OPTICAL ELEMENTS IN EUV OPTICAL SYSTEMS

      
Application Number 17944009
Status Pending
Filing Date 2022-09-13
First Publication Date 2023-12-28
Owner KLA CORPORATION (USA)
Inventor
  • Xie, Yun
  • Shi, Rui-Fang
  • Hill, Shannon

Abstract

A system and method for cleaning an optical element of an EUV optical system is disclosed. The system and method may include receiving design data of one or more samples. The system and method may include simulating a plurality of irradiance distributions at a plane of an EUV optical sub-system based on the design data and one or more parameters. The system and method may include aggregating the plurality of irradiance distributions to generate an aggregated irradiance distribution. The system and method may include determining a predicted contaminate distribution based on both the aggregated irradiance distribution and a contaminate growth rate. The system and method may include determining a cleaning recipe for the one or more optical elements based on the predicted contaminate distribution.

IPC Classes  ?

45.

Systems and methods for metrology with layer-specific illumination spectra

      
Application Number 16552107
Grant Number 11852590
Status In Force
Filing Date 2019-08-27
First Publication Date 2023-12-26
Grant Date 2023-12-26
Owner KLA Corporation (USA)
Inventor
  • Manassen, Amnon
  • Negri, Daria
  • Hill, Andrew V.
  • Bachar, Ohad
  • Levinski, Vladimir
  • Paskover, Yuri

Abstract

A metrology system may include an imaging sub-system including one or more lenses and a detector to image a sample, where the sample includes metrology target elements on two or more sample layers. The metrology system may further include a controller to determine layer-specific imaging configurations of the imaging sub-system to image the metrology target elements on the two or more sample layers within a selected image quality tolerance, where each layer-specific imaging configuration includes a selected configuration of one or more components of the imaging sub-system. The controller may further receive, from the imaging sub-system, one or more images of the metrology target elements on the two or more sample layers generated using the layer-specific imaging configurations. The controller may further provide a metrology measurement based on the one or more images of the metrology target elements on the two or more sample layers.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/33 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
  • G01N 21/3563 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
  • G01N 21/84 - Systems specially adapted for particular applications
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

46.

SYSTEM AND METHOD FOR REDUCING SAMPLE NOISE USING SELECTIVE MARKERS

      
Application Number 18139637
Status Pending
Filing Date 2023-04-26
First Publication Date 2023-12-21
Owner KLA Corporation (USA)
Inventor
  • Chen, Grace Hsiu-Ling
  • Virk, Kuljit S.
  • Gruebele, Martin

Abstract

An inspection is disclosed. The system may include an illumination source configured to illuminate a sample. The sample may include a multi-layer stack including a plurality of layers formed of a first material and at least a second material. The first material may include a light transmissive material and the second material may include light reflective material. A top layer within the stack may include absorptive markers configured to selectively bind to the top layer. The absorptive markers may be configured block light transmission through layers positioned below the top layer. The top layer may include photoluminescent markers configured to selectively bind to the light reflective material to enhance a feature of interest on the sample. The system may include detectors configured to detect photoluminescent emission emitted by the photoluminescent markers and optical elements may be configured to direct the photoluminescent emission to the detectors.

IPC Classes  ?

  • G01N 21/93 - Detection standards; Calibrating
  • G01N 21/91 - Investigating the presence of flaws, defects or contamination using penetration of dyes, e.g. fluorescent ink

47.

ROTATING TARGET FOR EXTREME ULTRAVIOLET SOURCE WITH LIQUID METAL

      
Application Number 18091994
Status Pending
Filing Date 2022-12-30
First Publication Date 2023-12-14
Owner KLA Corporation (USA)
Inventor
  • Bykanov, Alexander
  • Shi, Rui-Fang

Abstract

An extreme ultraviolet (EUV) light source includes a vacuum chamber with a rotating target assembly therein. The rotating target assembly has an annular groove with a distal wall relative to an axis of rotation. The distal wall includes a porous region. The rotating target assembly is rotated to form a target by centrifugal force with a layer of molten metal on a distal wall of an annular groove in the rotating target assembly.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

48.

ENHANCING PERFORMANCE OF OVERLAY METROLOGY

      
Application Number 18114451
Status Pending
Filing Date 2023-02-27
First Publication Date 2023-12-14
Owner KLA Corporation (USA)
Inventor
  • Manassen, Amnon
  • Hill, Andrew V.
  • Vaknin, Yonatan
  • Simon, Yossi
  • Negri, Daria
  • Levinski, Vladimir
  • Paskover, Yuri
  • Golotsvan, Anna
  • Rothman, Nachshon
  • Reddy, Nireekshan K.
  • Bendavid, Nir
  • Abramov, Avi
  • Yaacov, Dror
  • Uziel, Yoram
  • Gutman, Nadav

Abstract

A method for metrology includes directing at least one illumination beam to illuminate a semiconductor wafer on which at least first and second patterned layers have been deposited in succession, including a first target feature in the first patterned layer and a second target feature in the second patterned layer, overlaid on the first target feature. A sequence of images of the first and second target features is captured while varying one or more imaging parameters over the sequence. The images in the sequence are processed in order to identify respective centers of symmetry of the first and second target features in the images and measure variations in the centers of symmetry as a function of the varying image parameters. The measured variations are applied in measuring an overlay error between the first and second patterned layers.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 27/28 - Optical systems or apparatus not provided for by any of the groups , for polarising
  • H04N 23/56 - Cameras or camera modules comprising electronic image sensors; Control thereof provided with illuminating means

49.

APPARATUS, METHOD AND COMPUTER PROGRAM PRODUCT FOR DEFECT DETECTION IN WORK PIECES

      
Application Number 18233807
Status Pending
Filing Date 2023-08-14
First Publication Date 2023-12-07
Owner KLA Corporation (USA)
Inventor
  • Marivoet, Tom
  • Truyens, Carl
  • Wouters, Christophe

Abstract

An apparatus, a method and a computer program product for defect detection in work pieces is disclosed. At least one light source is provided and the light source generates an illumination light of a wavelength range at which the work piece is transparent. A camera images the light from at least one face of the work piece on a detector of the camera by means of a lens. A stage is used for moving the work piece and for imaging the at least one face of the semiconductor device completely with the camera. The computer program product is disposed on a non-transitory, computer readable medium for defect detection in work pieces. A computer is used to execute the various process steps and to control the various means of the apparatus.

IPC Classes  ?

  • G01R 31/01 - Subjecting similar articles in turn to test, e.g. "go/no-go" tests in mass production; Testing objects at points as they pass through a testing station
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01R 31/26 - Testing of individual semiconductor devices
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer

50.

Creating Multiple Electron Beams with a Photocathode Film

      
Application Number 17830244
Status Pending
Filing Date 2022-06-01
First Publication Date 2023-12-07
Owner KLA Corporation (USA)
Inventor
  • Jiang, Xinrong
  • Jiang, Youfei
  • Steigerwald, Michael
  • Nyffenegger, Ralph

Abstract

An electron-beam device includes a laser and a photocathode film. The photocathode film has a front side and a back side and emits a plurality of electron beamlets when illuminated from the back side using the laser. The electron-beam device also includes electrodes to extract the plurality of electron beamlets from the front side of the photocathode film and to control shapes of the plurality of electron beamlets.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/14 - Lenses magnetic

51.

UNIVERSAL METROLOGY MODEL

      
Application Number 17955385
Status Pending
Filing Date 2022-09-28
First Publication Date 2023-11-30
Owner KLA Corporation (USA)
Inventor
  • Reddy, Nireekshan K.
  • Levinski, Vladimir
  • Manassen, Amnon

Abstract

A metrology system may arrange metrology measurements for a plurality of metrology targets distributed in a plurality of fields on one or samples into a signal vector, where the metrology measurements associated with the metrology targets in each of the plurality of fields are grouped within the signal vector. The system may further decompose the signal vector into reconstruction vectors associated with different spectral components of the signal vector. The system may further classify a subset of the reconstruction vectors as components of a metrology model, where a sum of the components corresponds to a metrology model describing the metrology measurements on the one or more samples. The system may further generate control signals to control one or more processing tools based on the metrology model.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/20 - Exposure; Apparatus therefor

52.

Massive overlay metrology sampling with multiple measurement columns

      
Application Number 17210793
Grant Number 11899375
Status In Force
Filing Date 2021-03-24
First Publication Date 2023-11-09
Grant Date 2024-02-13
Owner KLA Corporation (USA)
Inventor
  • Madsen, Jonathan
  • Shchegrov, Andrei V.
  • Manassen, Amnon
  • Hill, Andrew V.
  • Simon, Yossi
  • Laredo, Gilad
  • Uziel, Yoram

Abstract

A multi-column metrology tool may include two or more measurement columns distributed along a column direction, where the two or more measurement columns simultaneously probe two or more measurement regions on a sample including metrology targets. A measurement column may include an illumination sub-system to direct illumination to the sample, a collection sub-system including a collection lens to collect measurement signals from the sample and direct it to one or more detectors, and a column-positioning sub-system to adjust a position of the collection lens. A measurement region of a measurement column may be defined by a field of view of the collection lens and a range of the positioning system in the lateral plane. The tool may further include a sample-positioning sub-system to scan the sample along a scan path different than the column direction to position metrology targets within the measurement regions of the measurement columns for measurements.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • H01J 37/304 - Controlling tubes by information coming from the objects, e.g. correction signals
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

53.

SHOT NOISE REDUCTION USING FRAME AVERAGING

      
Application Number 18128184
Status Pending
Filing Date 2023-03-29
First Publication Date 2023-11-02
Owner KLA Corporation (USA)
Inventor
  • Sezginer, Abdurrahman
  • Mcbride, Patrick
  • Bhattacharya, Indrasen
  • Danen, Robert M.

Abstract

An inspection system includes a controller including one or more processors configured to execute program instructions. The program instructions cause the one or more processors to receive at least a first portion of a first set of repeat swaths of a first scan path of a sample. The program instructions cause the one or more processors to generate an image by averaging the first portion of the first set of repeat swaths. Averaging the first portion of the first set of repeat swaths reduces a noise in the image. The program instructions cause the one or more processors to detect one or more defects in an inspection region of the sample using the image.

IPC Classes  ?

  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/00 - Image analysis
  • G06T 5/20 - Image enhancement or restoration by the use of local operators
  • G06V 20/50 - Context or environment of the image

54.

MEASUREMENT OF THICK FILMS AND HIGH ASPECT RATIO STRUCTURES

      
Application Number 18185100
Status Pending
Filing Date 2023-03-16
First Publication Date 2023-10-26
Owner KLA Corporation (USA)
Inventor
  • Wang, David Y.
  • Krishnan, Shankar

Abstract

The system includes a light source configured to emit light along an illumination path; a projection optical assembly disposed in the illumination path; a target disposed in the illumination path and configured to reflect the light along a collection path; a collection optical assembly disposed in the collection path; a detector disposed in the collection path and configured to detect the light reflected from the target and generate an output signal based on the detected light; and a processor in electronic communication with the detector and configured to generate a measurement of the target based on the output signal. The projection optical assembly defines a first numerical aperture at the target and the collection optical assembly defines a second numerical aperture at the target, and the first numerical aperture is slightly larger than the second numerical aperture for measurements of thick films and high aspect ratio structures.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

55.

EUV In-Situ Linearity Calibration for TDI Image Sensors Using Test Photomasks

      
Application Number 18343390
Status Pending
Filing Date 2023-06-28
First Publication Date 2023-10-26
Owner KLA Corporation (USA)
Inventor
  • Huang, Haifeng
  • Kvamme, Damon
  • Shi, Rui-Fang

Abstract

A photomask includes a plurality of distinctly patterned regions to provide different respective intensities of extreme ultraviolet (EUV) light in response to illumination with an EUV beam. The photomask may be part of a system that also includes a time-delay-integration (TDI) inspection tool with an EUV light source and a TDI sensor. The EUV light source is to generate the EUV beam. The photomask is to be loaded into the TDI inspection tool. The system further includes a reference intensity detector to be mounted in the TDI inspection tool to measure intensities of EUV light collected from the photomask.

IPC Classes  ?

  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales 
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 1/58 - Absorbers, e.g. opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
  • G01N 21/33 - Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
  • G03F 1/24 - Reflection masks; Preparation thereof
  • G01N 21/93 - Detection standards; Calibrating

56.

Bandwidth Adjustment for Remote Control of a Manufacturing Tool

      
Application Number 18339784
Status Pending
Filing Date 2023-06-22
First Publication Date 2023-10-19
Owner KLA Corporation (USA)
Inventor Brain, Michael

Abstract

A non-transitory computer-readable storage medium stores one or more programs for execution by one or more processors of a computer system communicatively coupled with a manufacturing tool through one or more networks. The one or more programs include instructions for sending a series of frames showing data for the manufacturing tool to a client device for display. The client device is remote from a manufacturing facility in which the manufacturing tool is to be disposed. The one or more programs also include instructions for adjusting a bandwidth for one or more frames of the series of frames in response to receiving, from the client device, an indication of a user interaction with the client device. The instructions for sending the series of frames include instructions for transmitting, after receiving the indication, the one or more frames with the adjusted bandwidth to the client device for display.

IPC Classes  ?

  • H04L 67/12 - Protocols specially adapted for proprietary or special-purpose networking environments, e.g. medical networks, sensor networks, networks in vehicles or remote metering networks
  • H04L 67/025 - Protocols based on web technology, e.g. hypertext transfer protocol [HTTP] for remote control or remote monitoring of applications
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

57.

LASER-SUSTAINED PLASMA SOURCE BASED ON COLLIDING LIQUID JETS

      
Application Number 18132162
Status Pending
Filing Date 2023-04-07
First Publication Date 2023-10-19
Owner KLA Corporation (USA)
Inventor
  • Szilagyi, John
  • Bezel, Ilya

Abstract

A laser-sustained broadband light source includes a gas containment structure and multiple jet nozzles. The jet nozzles are configured to direct multiple liquid jets of plasma-forming material in directions to collide with one another within the gas containment structure. The laser-sustained broadband light source further includes a laser pump source configured to generate an optical pump to sustain a plasma in a region of the gas containment structure at a collision point of the plurality of liquid jets and a light collector element configured to collect broadband light emitted from the plasma.

IPC Classes  ?

  • H01J 65/04 - Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating
  • H01J 61/02 - Gas-discharge or vapour-discharge lamps - Details
  • H01J 61/28 - Means for producing, introducing, or replenishing gas or vapour during operation of the lamp
  • H01J 61/52 - Cooling arrangements; Heating arrangements; Means for circulating gas or vapour within the discharge space
  • H01J 61/16 - Selection of substances for gas fillings; Specified operating pressure or temperature having helium, argon, neon, krypton, or xenon as the principle constituent

58.

METHODS AND PROCESS CONTROL FOR REAL TIME INERT MONITORING OF ACID COPPER ELECTRODEPOSITION SOLUTIONS

      
Application Number 18150465
Status Pending
Filing Date 2023-01-05
First Publication Date 2023-10-19
Owner KLA CORPORATION (USA)
Inventor
  • Shalyt, Eugene
  • Haber, Aaron
  • Bai, Chuannan

Abstract

Techniques including methods and apparatuses for inert real-time measurement and monitoring of metal and acid concentrations in a processing solution are provided. Methods include performing an analytical method (e.g., spectral measurements) of the processing solution to determine a metal concentration and performing another analytical method (e.g., density measurements) of the processing solution to determine an acid concentration with compensation of raw results based on the determined metal concentration. The determination of the acid concentration can also include compensation of raw results based on another analytical method (e.g., temperature measurements) of the processing solution. The analytical methods can be performed in any order or in parallel. Both metal and acid concentrations in the processing solution can therefore be inertly and continuously measured and monitored in real time.

IPC Classes  ?

  • G01N 9/36 - Analysing materials by measuring the density or specific gravity, e.g. determining quantity of moisture
  • G01N 9/24 - Investigating density or specific gravity of materials; Analysing materials by determining density or specific gravity by observing the transmission of wave or particle radiation through the material
  • C25D 1/00 - Electroforming

59.

Multi-directional overlay metrology using multiple illumination parameters and isolated imaging

      
Application Number 17716757
Grant Number 11800212
Status In Force
Filing Date 2022-04-08
First Publication Date 2023-10-12
Grant Date 2023-10-24
Owner KLA Corporation (USA)
Inventor
  • Vaknin, Yonatan
  • Hill, Andrew V.
  • Manassen, Amnon

Abstract

An optical metrology system may include an overlay metrology tool for characterizing an overlay target on a sample, where the overlay target includes first-direction periodic features in a first set of layers of the sample, and second-direction periodic features in a second set of layers of the sample. The overlay metrology tool may simultaneously illuminate the overlay target with first illumination beams and second illumination beams and may further generate images of the overlay target based on diffraction of the first illumination beams and the second illumination beams by the overlay target, where diffraction orders of the first illumination beams contribute to resolved image formation of only the first-direction periodic features, and where diffraction orders of the second illumination beams contribute to resolved image formation of only the second-direction periodic features. The system may further generate overlay measurements along the first and second measurement directions based on the images.

IPC Classes  ?

  • H04N 23/56 - Cameras or camera modules comprising electronic image sensors; Control thereof provided with illuminating means
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

60.

MICRO-LENS ARRAY FOR METAL-CHANNEL PHOTOMULTIPLIER TUBE

      
Application Number 17873114
Status Pending
Filing Date 2022-07-25
First Publication Date 2023-10-12
Owner KLA Corporation (USA)
Inventor
  • Donders, Paul
  • Mackay, Derek

Abstract

The effective quantum efficiency of a metal-channel photomultiplier tube can be increased with an optical system. The optical system can direct incident light from areas of low efficiency on the cathode of the metal-channel photomultiplier tube instead to areas of high efficiency on the cathode. These high-efficiency areas of the cathode can correspond to a position between the dynode structure.

IPC Classes  ?

  • H01J 43/18 - Electrode arrangements using essentially more than one dynode

61.

SCREENING EDGE PLACEMENT UNIFORMITY WAFER STOCHASTICS

      
Application Number 17967854
Status Pending
Filing Date 2022-10-17
First Publication Date 2023-10-12
Owner KLA Corporation (USA)
Inventor Eyring, Stefan

Abstract

A simulated tool signal is determined from design data and tool properties of the tool making the measurements. A design-assisted composite signal is determined from measurements. An edge placement uniformity signal is then determined by comparing the simulated tool signal and the design-assisted composite signal. A shape and/or an area of the edge placement uniformity signal can be analyzed. The edge placement uniformity signal enables screening of structures with respect to wafer stochastics without the need to fully characterize all individual structures.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/244 - Detectors; Associated components or circuits therefor

62.

OVERLAY MARK DESIGN FOR ELECTRON BEAM OVERLAY

      
Application Number 18204662
Status Pending
Filing Date 2023-06-01
First Publication Date 2023-10-12
Owner KLA Corporation (USA)
Inventor
  • Steely-Tarshish, Inna
  • Eyring, Stefan
  • Ghinovker, Mark
  • Feler, Yoel
  • Hajaj, Eitan
  • Pohlmann, Ulrich
  • Gutman, Nadav
  • Steely, Chris
  • Yohanan, Raviv
  • Naot, Ira

Abstract

Electron beam overlay targets and method of performing overlay measurements on a target using a semiconductor metrology tool are provided. One target includes a plurality of electron beam overlay elements and a plurality of two-dimensional elements that provide at least one two-dimensional imaging. The plurality of two dimensional elements are an array of evenly-spaced polygonal gratings across at least three rows and at least three columns. Another target includes a plurality of electron beam overlay elements and a plurality of AIMid elements. Each of the electron beam overlay elements includes at least two gratings that are overlaid at a perpendicular orientation to each other. The plurality of AIMid elements includes at least two gratings that are overlaid at a perpendicular orientation to each other.

IPC Classes  ?

63.

MINIATURE HYBRID ELECTRON BEAM COLUMN

      
Application Number 17658637
Status Pending
Filing Date 2022-04-08
First Publication Date 2023-10-12
Owner KLA Corporation (USA)
Inventor
  • Muray, Lawrence
  • Gerling, John
  • Spallas, James
  • Brodie, Alan

Abstract

A miniature electron beam column in combination with magnetostatic lenses to produce very high-performance miniature electron or ion beam columns. Silicon-based electron optical components provide high-accuracy formation and alignment of critical optical elements and the magnetic lenses provide low-aberration focusing or condensing elements. Accurate assembly of the silicon and magnetic components is achievable via the multilayered assembly techniques and allows for achieving high performance.

IPC Classes  ?

64.

MULTI-MODE OPTICAL INSPECTION

      
Application Number 18128125
Status Pending
Filing Date 2023-03-29
First Publication Date 2023-10-05
Owner KLA Corporation (USA)
Inventor
  • Virk, Kuljit S.
  • Zhou, Minchuan
  • Bhattacharya, Indrasen
  • Sezginer, Abdurrahman

Abstract

An inspection system may develop an inspection recipe by generating N inspection images of a preliminary sample with one or more optical inspection sub-systems associated with N different optical inspection modes, generating probabilities that each of the locations of the preliminary sample are in background or defect classes using a classifier with the inspection images from at least some combinations of a number M of the optical inspection modes, where M is greater than one and less than N and corresponds to a number of the optical inspection modes to include in the inspection recipe, and selecting one of the combinations of M of the optical inspection modes based on a metric describing a distinction between the background and defect classes. The inspection system may further identify defects on a test sample using M inspection images generated with the selected combination of M of the optical inspection modes.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G06T 7/00 - Image analysis

65.

SCANNING SCATTEROMETRY OVERLAY METROLOGY

      
Application Number 17708958
Status Pending
Filing Date 2022-03-30
First Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Manassen, Amnon
  • Hill, Andrew V.
  • Paskover, Yuri
  • Gdor, Itay
  • Vaknin, Yonatan
  • Lubashevsky, Yuval

Abstract

An overlay metrology system may include an illumination an illumination source to generate an illumination beam, one or more illumination optics to direct the illumination beam to an overlay target on a sample as the sample is scanned relative to the illumination beam along a scan direction, the target including one or more cells having Moiré structures. The system may also include two photodetectors at locations of a pupil plane associated with Moiré or overlapping diffraction orders from the Moiré structures. The system may then generate overlay measurements based on time-varying interference signals captured by the detector as the sample is scanned.

IPC Classes  ?

  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/956 - Inspecting patterns on the surface of objects

66.

Context-based defect inspection

      
Application Number 18128140
Grant Number 11922619
Status In Force
Filing Date 2023-03-29
First Publication Date 2023-10-05
Grant Date 2024-03-05
Owner KLA Corporation (USA)
Inventor
  • Duffy, Brian
  • Ries, Bradley
  • Karsenti, Laurent
  • Virk, Kuljit S.
  • Elron, Asaf J.
  • Berdichevsky, Ruslan
  • Shmuel, Oriel Ben
  • Fenster, Shlomi
  • Gorski, Yakir
  • Dovrat, Oren
  • Dekel, Ron
  • Garbin, Emanuel
  • Smekhov, Sasha

Abstract

A context-based inspection system is disclosed. The system may include an optical imaging sub-system. The system may further include one or more controllers communicatively coupled to the optical imaging system. The one or more controllers may be configured to: receive one or more reference images; receive one or more test images of a sample; generate one or more probabilistic context maps during inspection runtime using an unsupervised classifier; provide the generated one or more probabilistic context maps to a supervised classifier during the inspection runtime; and apply the supervised classifier to the received one or more test images to identify one or more DOIs on the sample.

IPC Classes  ?

67.

Inspection with previous step subtraction

      
Application Number 18128203
Grant Number 11921052
Status In Force
Filing Date 2023-03-29
First Publication Date 2023-10-05
Grant Date 2024-03-05
Owner KLA Corporation (USA)
Inventor
  • Danen, Robert M.
  • Park, Sangbong
  • Starodub, Dmitri
  • Sezginer, Abdurrahman

Abstract

An inspection system may generate first-step images of multiple sample regions after a first process step and generate second-step images of the sample regions after a second process step, where the second process step modifies the sample in at least one of the sample regions. The system may further identify one of the sample regions as a test region and at least some of the remaining sample regions as comparison regions, where the second-step image of the test region is a test image and the second-step images of the comparison regions are comparison images. The system may further generate a multi-step difference image by subtracting a combination of at least one of the second-step comparison images and at least two of the first-step images from the test image. The system may further identify defects in the test region associated with the second process step based on the multi-step difference image.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G06T 5/00 - Image enhancement or restoration
  • G06T 5/50 - Image enhancement or restoration by the use of more than one image, e.g. averaging, subtraction
  • G06T 7/00 - Image analysis
  • H01L 21/66 - Testing or measuring during manufacture or treatment

68.

SYSTEM AND METHOD FOR ISOLATION OF SPECIFIC FOURIER PUPIL FREQUENCY IN OVERLAY METROLOGY

      
Application Number 17709200
Status Pending
Filing Date 2022-03-30
First Publication Date 2023-10-05
Owner KLA CORPORATION (USA)
Inventor
  • Paskover, Yuri
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Levinski, Vladimir
  • Volfman, Alexander
  • Uziel, Yoram
  • Men, Yevgeniy

Abstract

A system includes an illumination source configured to generate an illumination beam, and a collection sub-system that includes an objective lens, one or more detectors located at a collection pupil plane, a light modulator, and a controller. The light modulator is configured to direct one or more selected portions of measurement light to the one or more detectors. The controller includes one or more processors configured to execute program instructions causing the one or more processors to execute a metrology recipe by: receiving detection signals from the one or more detectors, wherein the detection signals are associated with the one or more selected portions of the measurement light directed to the one or more detectors; and generating an overlay measurement associated with at least two layers of a sample based on the detection signals.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

69.

ELECTRON GUN AND ELECTRON MICROSCOPE

      
Application Number 18122388
Status Pending
Filing Date 2023-03-16
First Publication Date 2023-09-21
Owner
  • KLA Corporation (USA)
  • National Institute of Advanced Industrial Science and Technology (Japan)
Inventor
  • Chuang, Yung-Ho Alex
  • Xiao-Li, Yinying
  • García Berríos, Edgardo
  • Fielden, John
  • Ghirardini, Lavinia
  • Nagao, Masayoshi

Abstract

An electron gun for an electron microscope or similar device includes a field emitter cathode having a field emitter protrusion extending from the output surface of a monocrystalline silicon substrate, and electrodes configured to enhance the emission of electrons from a tip portion of the field emitter protrusion to generate a primary electron beam. A contiguous TiN layer is disposed directly on at least the tip portion of the field emitter protrusion using a process that minimizes oxidation and defects in the TiN layer.

IPC Classes  ?

  • H01J 37/073 - Electron guns using field emission, photo emission, or secondary emission electron sources
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

70.

CLEANROOM COMPATIBLE ROBOTIC END EFFECTOR EXCHANGE SYSTEM

      
Application Number 18156131
Status Pending
Filing Date 2023-01-18
First Publication Date 2023-09-21
Owner KLA CORPORATION (USA)
Inventor
  • Clarke, Benjamin James Thomas
  • Wiseman, Asaf
  • Pressburger, Tzachi
  • Brisman, Michael
  • Di Regolo, Joseph A.

Abstract

The system includes a robot interface disposed on a robot arm, and an end effector configured to selectively couple to the robot arm via the robot interface. The end effector includes an upper jaw, a lower jaw, and a pair of arms configured to carry a substrate. The upper jaw and the lower jaw are spaced apart in a first direction and biased together, and the pair of arms are spaced apart in a second direction orthogonal to the first direction. When the end effector is coupled to the robot arm, the robot interface is disposed between the upper jaw and the lower jaw. To exchange the end effector, the upper jaw and the lower jaw can be separated to release the robot interface.

IPC Classes  ?

  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • B25J 15/04 - Gripping heads with provision for the remote detachment or exchange of the head or parts thereof
  • B25J 19/00 - Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
  • G01B 11/06 - Measuring arrangements characterised by the use of optical techniques for measuring length, width, or thickness for measuring thickness

71.

MEASUREMENT OF STITCHING ERROR USING SPLIT TARGETS

      
Application Number 17686382
Status Pending
Filing Date 2022-03-03
First Publication Date 2023-09-07
Owner KLA Corporation (USA)
Inventor
  • Ghinovker, Mark
  • Feler, Yoel

Abstract

A method of semiconductor metrology includes patterning a film layer on a semiconductor substrate to define a first field on the semiconductor substrate with a first pattern comprising at least a first target feature within a first margin along a first edge of the first field and to define a second field, which abuts the first field, with a second pattern comprising at least a second target feature within a second margin along a second edge of the second field, such that the second edge of the second field adjoins the first edge of the first field. The first target feature in the first margin is adjacent to the second target feature in the second margin without overlapping the second target feature. An image is captured of at least the first and second target features and is processed to detect a misalignment between the first and second fields.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/68 - Analysis of geometric attributes of symmetry
  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

72.

System and method to weight defects with co-located modeled faults

      
Application Number 17889491
Grant Number 11899065
Status In Force
Filing Date 2022-08-17
First Publication Date 2023-09-07
Grant Date 2024-02-13
Owner KLA Corporation (USA)
Inventor
  • Price, David W.
  • Rathert, Robert J.
  • Lenox, Chet V.
  • Donzella, Oreste

Abstract

Systems and methods for generating defect criticality are disclosed. Such systems and methods may include identifying defect results including a defect and a defect location. Such systems and methods may include receiving fault test recipes configured to test potential faults at a plurality of testing locations. Such systems and methods may include identifying a plurality of N-detect parameters based on a countable number of times the fault test recipes are configured to test a potential fault. Such systems and methods may include determining a plurality of weighting parameters based on the plurality of N-detect parameters. Such systems and methods may include generating the defect criticality for the defect based on a proximity between the plurality of testing locations and the defect location and the plurality of weighting.

IPC Classes  ?

  • G06F 30/30 - Circuit design
  • G01R 31/3183 - Generation of test inputs, e.g. test vectors, patterns or sequences
  • G01R 31/3177 - Testing of logic operation, e.g. by logic analysers

73.

IN-SITU PROCESS CHAMBER CHUCK CLEANING BY CLEANING SUBSTRATE

      
Application Number 18141808
Status Pending
Filing Date 2023-05-01
First Publication Date 2023-08-24
Owner KLA Corporation (USA)
Inventor
  • Azaria, Mor
  • Bieli, Giampietro
  • Mark, Shai
  • Pahima, Adi
  • Uziel, Yoram

Abstract

A cleaning assembly is disclosed. The cleaning assembly includes a substrate. One or more patterns are formed on a bottom side of the substrate. One or more structures within the one or more patterns attract one or more particles from a chuck via at least one of electrostatic attraction or mechanical trapping when the substrate is positioned on the chuck.

IPC Classes  ?

  • B08B 6/00 - Cleaning by electrostatic means
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
  • B08B 3/08 - Cleaning involving contact with liquid the liquid having chemical or dissolving effect

74.

PARALLAX METHOD FOR A SINGLE-CELL DIFFRACTION BASED MEASUREMENT OF MISREGISTRATION

      
Application Number 18107813
Status Pending
Filing Date 2023-02-09
First Publication Date 2023-08-17
Owner KLA Corporation (USA)
Inventor
  • Kot, Mordechy
  • Lamhot, Yuval

Abstract

An overlay metrology system may illuminate overlay targets sample with a dipole pair of illumination beams, generate a first set of metrology data associated with two or more cells having nonzero offset values from a first set of the overlay targets, determine overlay measurements for the first set of overlay targets, determine effective stack heights representative of an effective distance between layers at the locations of the first set of the overlay targets, generate a second set of metrology data from a second set of the overlay targets, determine the effective stack heights at locations of the second set of the overlay targets based on the first effective stack heights, and determine overlay measurements for the second set of overlay targets based on the effective stack heights at the locations of the second set of the overlay targets and the second set of metrology data.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes
  • G01N 21/47 - Scattering, i.e. diffuse reflection

75.

Semiconductor Measurements With Robust In-Line Tool Matching

      
Application Number 17673594
Status Pending
Filing Date 2022-02-16
First Publication Date 2023-08-17
Owner KLA Corporation (USA)
Inventor Pandev, Stilian Ivanov

Abstract

Methods and systems for improved monitoring of tool drift and tool-to-tool matching across large fleets of measurement systems employed to measure semiconductor structures are presented herein. One or more Quality Control (QC) wafers are measured by each of a fleet of measurement systems. Values of system variables are extracted from the QC measurement data associated with each measurement system using a trained QC encoder. The extracted values of the system variables are employed to condition the corresponding measurement model employed by each measurement tool to characterize structures under measurement having unknown values of one or more parameters of interest. Accurate tool-to-tool matching across a fleet of conditioned measurement systems is achieved by extracting values of system variables from measurement data collected from the same set of QC wafers. Tool health is monitored based on changes in values of system variables extracted from measurements performed at different times.

IPC Classes  ?

  • G01N 23/201 - Measuring small-angle scattering, e.g. small angle X-ray scattering [SAXS]
  • G06N 3/08 - Learning methods

76.

ESTIMATING IN-DIE OVERLAY WITH TOOL INDUCED SHIFT CORRECTION

      
Application Number 17674809
Status Pending
Filing Date 2022-02-17
First Publication Date 2023-08-17
Owner KLA CORPORATION (USA)
Inventor
  • Moon, Min-Yeong
  • Pandev, Stilian
  • Sanko, Dimitry

Abstract

A metrology module includes an estimation model that is configured to provide an estimation of independent overlay with tool induced shift on received wafers based on only one azimuth angle spectra. The estimation model can use at least one machine learning algorithm. The estimation model can be derived by the machine learning algorithm applied to calculated training data based on a first training sample set from initial metrology measurements and an additional tool induced shift training sample.

IPC Classes  ?

  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • G06N 5/02 - Knowledge representation; Symbolic representation

77.

IMAGING OVERLAY WITH MUTUALLY COHERENT OBLIQUE ILLUMINATION

      
Application Number 17863216
Status Pending
Filing Date 2022-07-12
First Publication Date 2023-08-17
Owner KLA CORPORATION (USA)
Inventor
  • Hill, Andrew V.
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon
  • Vaknin, Yonatan

Abstract

An overlay metrology system may include illumination sources configured to generate one or more pairs of mutually coherent illumination beams and illumination optics to direct the pairs of illumination beams to an overlay target at common altitude incidence angles and symmetrically opposed azimuthal incidence angles, where the overlay target includes two or more grating structures distributed along one or more measurement directions. The system may further include imaging optics to image the overlay target onto detectors when implementing the metrology recipe, where an image of a particular one of the two or more grating structures is generated exclusively with a single non-zero diffraction order of light from each of the illumination beams within the particular one of the pairs of illumination beams. The system may further include a controller to determine overlay measurements based on images of the overlay target.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

78.

COMBINING FOCUSED ION BEAM MILLING AND SCANNING ELECTRON MICROSCOPE IMAGING

      
Application Number 17591569
Status Pending
Filing Date 2022-02-02
First Publication Date 2023-08-03
Owner KLA Corporation (USA)
Inventor
  • Jiang, Youfei
  • Steigerwald, Michael
  • Sears, Christopher

Abstract

The dual focused ion beam and scanning electron beam system includes an electron source that generates an electron beam and an ion source that generates an ion beam. The electron beam column directs an electron beam at a normal angle relative to a top surface of the stage. An ion beam column directs the ion beam at the stage. The ion beam is at an angle relative to the electron beam. A detector receives the electron beam reflected from the wafer on the stage.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01J 37/31 - Electron-beam or ion-beam tubes for localised treatment of objects for cutting or drilling
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01L 21/263 - Bombardment with wave or particle radiation with high-energy radiation
  • B23K 15/00 - Electron-beam welding or cutting
  • B23K 15/02 - Control circuits therefor
  • B23K 15/08 - Removing material, e.g. by cutting, by hole drilling

79.

Secure Remote Collaboration for Equipment in a Manufacturing Facility

      
Application Number 18133773
Status Pending
Filing Date 2023-04-12
First Publication Date 2023-08-03
Owner KLA Corporation (USA)
Inventor
  • Brain, Michael
  • Olavarria, Ramon
  • Gutierrez, Joseph
  • Bhagat, Ravi
  • Kantorovich, Assaf

Abstract

A permission-engine computer system receives a request for electronic access by an equipment supplier to a manufacturing facility of a manufacturer to perform a remote support activity for equipment in the manufacturing facility. The permission-engine computer system routes the request to a plurality of predefined approvers and receives approval of the request from the plurality of predefined approvers. In response to receiving approval of the request from the plurality of predefined approvers, the permission-engine computer system signals a gateway computer system of the manufacturer that the request is approved. The gateway computer system automatically creates a connection between an electronic device in the manufacturing facility and a computer system of the equipment supplier in response to approval of the request. The connection is used for the remote support activity.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

80.

ANNULAR APODIZER FOR SMALL TARGET OVERLAY MEASUREMENT

      
Application Number 17584335
Status Pending
Filing Date 2022-01-25
First Publication Date 2023-07-27
Owner KLA Corporation (USA)
Inventor
  • Gdor, Itay
  • Lubashevsky, Yuval
  • Volfman, Alon Alexander
  • Negri, Daria
  • Men, Yevgeniy
  • Farchi, Elad

Abstract

Metrology is performed on a semiconductor wafer using a system with an apodizer. A spot is formed on the semiconductor wafer with a diameter from 2 nm to 5 nm. The associated beam of light has a wavelength from 400 nm to 800 nm. Small target measurement can be performed at a range of optical wavelengths.

IPC Classes  ?

  • G01N 21/21 - Polarisation-affecting properties
  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

81.

SELECTIVE MARKING OF A SUBSTRATE WITH FLUORESCENT PROBES HAVING A SMALL FORM FACTOR

      
Application Number 18101573
Status Pending
Filing Date 2023-01-25
First Publication Date 2023-07-27
Owner KLA Corporation (USA)
Inventor
  • Kim, Jinsang
  • Chen, Grace

Abstract

A photoluminescent material can be applied to part of a substrate as part of substrate inspection. The photoluminescent material includes a conjugated polymer having a coiled macroscopic molecular shape and a meta-linkage or an ortho-linkage. The substrate is imaged using an inspection system. The conjugated polymer can be, for example, poly(m-phenylene ethynylene) (PPE) or poly(para-phenylene vinylene) (PPV).

IPC Classes  ?

  • G01N 21/91 - Investigating the presence of flaws, defects or contamination using penetration of dyes, e.g. fluorescent ink
  • G01N 21/64 - Fluorescence; Phosphorescence
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

82.

SYSTEM FOR AUTOMATIC DIAGNOSTICS AND MONITORING OF SEMICONDUCTOR DEFECT DIE SCREENING PERFORMANCE THROUGH OVERLAY OF DEFECT AND ELECTRICAL TEST DATA

      
Application Number 17732212
Status Pending
Filing Date 2022-04-28
First Publication Date 2023-07-27
Owner KLA Corporation (USA)
Inventor
  • Price, David W.
  • Rathert, Robert J.
  • Lenox, Chet V.
  • Donzella, Oreste
  • Lach, Justin
  • Robinson, John

Abstract

Systems and methods for determining a diagnosis of a screening system are disclosed. Such systems and methods include identifying defect results based on inline characterization tool data, identifying electrical test results based on electrical test data, generating one or more correlation metrics based on the defect results and the electrical test results, and determining at least one diagnosis of the screening system based on the one or more correlation metrics, the diagnosis corresponding to a performance of the screening system.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/20 - Exposure; Apparatus therefor

83.

Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality

      
Application Number 17578310
Status Pending
Filing Date 2022-01-18
First Publication Date 2023-07-20
Owner KLA Corporation (USA)
Inventor
  • Gellineau, Antonio Arion
  • Shchegrov, Andrei V.
  • Park, Hyowon
  • Gurudath, Pavan
  • Liman, Christopher
  • Song, Jung Heon

Abstract

Methods and systems for monitoring the quality of a semiconductor measurement in a targeted manner are presented herein. Rather than relying on one or more general indices to determine overall measurement quality, one or more targeted measurement quality indicators are determined. Each targeted measurement quality indicator provides insight into whether a specific operational issue is adversely affecting measurement quality. In this manner, the one or more targeted measurement quality indicators not only highlight deficient measurements, but also provide insight into specific operational issues contributing to measurement deficiency. In some embodiments, values of one or more targeted measurement quality indicators are determined based on features extracted from measurement data. In some embodiments, values of one or more targeted measurement quality indicators are determined based on features extracted from one or more indications of a comparison between measurement data and corresponding measurement data simulated by a trained measurement model.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

84.

SENSOR MODULE FOR SCANNING ELECTRON MICROSCOPY APPLICATIONS

      
Application Number 18123850
Status Pending
Filing Date 2023-03-20
First Publication Date 2023-07-20
Owner KLA Corporation (USA)
Inventor Trimpl, Marcel

Abstract

A scanning electron microscopy (SEM) system is disclosed. The SEM system includes an electron source configured to generate an electron beam and a set of electron optics configured to scan the electron beam across the sample and focus electrons scattered by the sample onto one or more imaging planes. The SEM system includes a first detector module positioned at the one or more imaging planes, wherein the first detector module includes a multipixel solid-state sensor configured to convert scattered particles, such as electrons and/or x-rays, from the sample into a set of equivalent signal charges. The multipixel solid-state sensor is connected to two or more Application Specific Integrated Circuits (ASICs) configured to process the set of signal charges from one or more pixels of the sensor.

IPC Classes  ?

  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation

85.

Self-calibrating overlay metrology

      
Application Number 18118420
Grant Number 11880142
Status In Force
Filing Date 2023-03-07
First Publication Date 2023-07-13
Grant Date 2024-01-23
Owner KLA Corporation (USA)
Inventor
  • Pandev, Stilian
  • Moon, Min-Yeong
  • Shchegrov, Andrei V.
  • Madsen, Jonathan
  • Sanko, Dimitry
  • Yerushalmi, Liran
  • Kuznetsov, Alexander
  • Dubey, Mahendra

Abstract

A self-calibrating overlay metrology system may receive device overlay data for a device targets on a sample from an overlay metrology tool, determine preliminary device overlay measurements for the device targets including device-scale features using an overlay recipe with the device overlay data as inputs, receive assist overlay data for one or more assist targets on the sample including device-scale features from the overlay metrology tool, where at least one of the one or more assist targets has a programmed overlay offset of a selected value along a particular measurement direction, determine self-calibrating assist overlay measurements for the one or more assist targets based on the assist overlay data, where the self-calibrating assist overlay measurements are linearly proportional to overlay on the sample, and generate corrected overlay measurements for the device targets by adjusting the preliminary device overlay measurements based on the self-calibrating assist overlay measurements.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

86.

Scanning overlay metrology using overlay targets having multiple spatial frequencies

      
Application Number 17709104
Grant Number 11796925
Status In Force
Filing Date 2022-03-30
First Publication Date 2023-07-06
Grant Date 2023-10-24
Owner KLA Corporation (USA)
Inventor
  • Lubashevsky, Yuval
  • Gdor, Itay
  • Negri, Daria
  • Hajaj, Eitan

Abstract

An overlay metrology system may include an illumination source and illumination optics to illuminate an overlay target on a sample with illumination from the illumination source as the sample is in motion with respect to the illumination from the illumination source in accordance with a measurement recipe. The overlay target may include one or more cells, where a single cell is suitable for measurement along a particular direction. Such a cell may include two or more gratings with different pitches. Further, the system may include two or more photodetectors, each configured to capture three diffraction lobes from the two or more grating structures. The system may further include a controller to determine an overlay measurement associated with each cell of the overlay target.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01B 9/02 - Interferometers
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

87.

Automated Focusing System For Tracking Specimen Surface with a Configurable Focus Offset

      
Application Number 18114859
Status Pending
Filing Date 2023-02-27
First Publication Date 2023-06-29
Owner KLA Corporation (USA)
Inventor
  • Liu, Xiumei
  • Cao, Kai
  • Wallingford, Richard
  • Giusti, Matthew
  • Bruguier, Brooke

Abstract

An auto-focusing system is disclosed. The system includes an illumination source. The system includes an aperture. The system includes a projection mask. The system includes a detector assembly. The system includes a relay system, the relay system being configured to optically couple illumination transmitted through the projection mask to an imaging system. The relay system also being configured to project one or more patterns from the projection mask onto a specimen and transmit an image of the projection mask from the specimen to the detector assembly. The system includes a controller including one or more processors configured to execute a set of program instructions. The program instructions being configured to cause the one or more processors to: receive one or more images of the projection mask from the detector assembly and determine quality of the one or more images of the projection mask.

IPC Classes  ?

  • G02B 21/00 - Microscopes
  • G02B 21/36 - Microscopes arranged for photographic purposes or projection purposes

88.

Supercritical Fluid Cleaning for Components in Optical or Electron Beam Systems

      
Application Number 18078913
Status Pending
Filing Date 2022-12-09
First Publication Date 2023-06-22
Owner KLA Corporation (USA)
Inventor
  • Sun, Jiulong
  • Jiang, Eric
  • Ehsani, Ali
  • Rose, Garry
  • Taylor, Boyd

Abstract

To clean components in semiconductor manufacturing equipment, such as an optical system or an electron beam system, a component is heated in a chamber. A supercritical fluid formulation is applied to the component in the chamber, which removes molecular and/or particulate contaminants. The supercritical fluid formulation can include one or more of carbon dioxide, water, HCF, alkane, alkene, nitrous oxide, methanol, ethanol, or acetone.

IPC Classes  ?

  • B08B 7/00 - Cleaning by methods not provided for in a single other subclass or a single group in this subclass
  • C11D 7/50 - Solvents
  • C11D 7/16 - Phosphates including polyphosphates
  • C11D 7/32 - Organic compounds containing nitrogen
  • C11D 11/00 - Special methods for preparing compositions containing mixtures of detergents

89.

SYSTEMS AND METHODS FOR CORRECTION OF IMPACT OF WAFER TILT ON MISREGISTRATION MEASUREMENTS

      
Application Number 16762107
Status Pending
Filing Date 2020-04-05
First Publication Date 2023-06-22
Owner KLA Corporation (USA)
Inventor
  • Levinski, Vladimir
  • Negri, Daria
  • Manassen, Amnon

Abstract

A method for correcting misregistration measurements of a semiconductor wafer for errors therein arising from tilt of the wafer including measuring, for at least one location on a wafer, a difference between a Tool Induced Shift (TIS) of a metrology device in a first illumination arrangement with respect to the wafer wherein a surface of the wafer is generally orthogonally illuminated by an illumination source of the metrology device and a TIS of the metrology device in a second illumination arrangement with respect to the wafer, wherein the surface is obliquely illuminated by the illumination source, and correcting a misregistration measurement measured by the metrology device at the at least one location for errors therein arising from tilt of the wafer at the location by subtracting from the misregistration measurement a weighted value of the difference between the TIS in the first and second illumination arrangements.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment

90.

MACHINE LEARNING USING A GLOBAL TEXTURE CHARACTERISTIC FOR SEMICONDUCTOR-BASED APPLICATIONS

      
Application Number 17557014
Status Pending
Filing Date 2021-12-20
First Publication Date 2023-06-22
Owner KLA Corporation (USA)
Inventor
  • Kucher, David
  • Salomon, Sophie
  • Ramachandran, Vijay

Abstract

Methods and systems for determining information for a specimen are provided. One system includes a computer subsystem configured for determining a global texture characteristic of an image of a specimen and one or more local characteristics of a localized area in the image. The system also includes one or more components executed by the computer subsystem. The component(s) include a machine learning model configured for determining information for the specimen based on the global texture characteristic and the one or more local characteristics. The computer subsystem is also configured for generating results including the determined information. The methods and systems may be used for metrology (in which the determined information includes one or more characteristics of a structure formed on the specimen) or inspection (in which the determined information includes a classification of a defect detected on the specimen).

IPC Classes  ?

  • G06V 10/77 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
  • G06V 10/54 - Extraction of image or video features relating to texture
  • G06V 10/82 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G06T 7/73 - Determining position or orientation of objects or cameras using feature-based methods
  • G06T 5/50 - Image enhancement or restoration by the use of more than one image, e.g. averaging, subtraction
  • G06T 7/194 - Segmentation; Edge detection involving foreground-background segmentation

91.

OVERLAY TARGET DESIGN FOR IMPROVED TARGET PLACEMENT ACCURACY

      
Application Number 17769054
Status Pending
Filing Date 2022-04-07
First Publication Date 2023-06-22
Owner KLA Corporation (USA)
Inventor Levinski, Vladimir

Abstract

A method for semiconductor metrology includes depositing a first film layer on a semiconductor substrate and a second film layer overlying the first film layer. The first and second film layers are patterned to create an overlay target having a specified geometrical form by using a projection system having a predefined resolution limit to project optical radiation onto the semiconductor substrate through at least one mask. The mask contains target features having target feature dimensions no less than the predefined resolution limit in an arrangement corresponding to the specified geometrical form of the overlay target and assist features interleaved with the target features and having at least one assist feature dimension that is less than the predefined resolution limit.

IPC Classes  ?

  • G03F 1/42 - Alignment or registration features, e.g. alignment marks on the mask substrates
  • H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or

92.

Single-Material Waveplates for Pupil Polarization Filtering

      
Application Number 17829289
Status Pending
Filing Date 2022-05-31
First Publication Date 2023-06-15
Owner KLA Corporation (USA)
Inventor
  • Shen, Chong
  • Zhao, Guoheng
  • Whiteside, Bret

Abstract

An optical inspection system includes one or more single-material gratings to convert the polarization of light scattered from a target from an elliptical polarization that varies spatially across a collection pupil to a linear polarization that is uniformly oriented across the collection pupil. The one or more single-material gratings have phase retardation that varies spatially across the collection pupil in accordance with the elliptical polarization. The optical inspection system also includes a linear polarizer to filter out the linearly polarized light.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G02B 5/18 - Diffracting gratings
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

93.

Deep ultraviolet laser using strontium tetraborate for frequency conversion

      
Application Number 17991198
Grant Number 11899338
Status In Force
Filing Date 2022-11-21
First Publication Date 2023-06-15
Grant Date 2024-02-13
Owner KLA Corporation (USA)
Inventor
  • Chuang, Yung-Ho Alex
  • Mauser, Kelly
  • Zhang, Baigang
  • Liu, Xuefeng
  • Fielden, John
  • Xiao-Li, Yinying
  • Loginova, Elena

Abstract

7 (SBO) crystal plates that are cooperatively configured to create a periodic structure for quasi-phase-matching (QPM) is used in the final frequency doubling stage of a laser assembly to generate laser output light having a wavelength in the range of about 180 nm to 200 nm. One or more fundamental laser beams are frequency doubled, down-converted and/or summed using one or more frequency conversion stages to generate an intermediate frequency light with a corresponding wavelength in the range of about 360 nm to 400 nm, and then the final frequency converting stage utilizes the nonlinear crystal to double the frequency of the intermediate frequency light to generate the desired laser output light at high power. Methods, inspection systems, lithography systems and cutting systems incorporating the laser assembly are also described.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G02F 1/355 - Non-linear optics characterised by the materials used
  • G02F 1/39 - Non-linear optics for parametric generation or amplification of light, infrared, or ultraviolet waves
  • G02F 1/37 - Non-linear optics for second-harmonic generation
  • G01N 21/47 - Scattering, i.e. diffuse reflection
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • H01S 3/16 - Solid materials

94.

FOUR-POINT TILT ALIGNMENT WAFER CHUCK

      
Application Number 17687044
Status Pending
Filing Date 2022-03-04
First Publication Date 2023-06-15
Owner KLA Corporation (USA)
Inventor
  • Oliver, Warren C.
  • Drake, Michael
  • Anthony, Richard
  • Johanns, Kurt
  • Hay, Jennifer

Abstract

An apparatus includes a chuck configured to hold a wafer and a tilt plate disposed beneath the chuck and adjustably connected to the chuck by a pair of upper screws and a pair of lower screws separately arranged in opposite corners of the chuck. Screw heads of the pair of upper screws rest against a top surface of the chuck, such that clockwise rotation of one of the upper screws pushes a corresponding corner of the chuck toward the tilt plate. Screw heads of the pair of lower screws rest against a bottom surface of the chuck, such that counter-clockwise rotation of one of the lower screws pushes a corresponding corner of the chuck away from the tilt plate.

IPC Classes  ?

  • B23Q 3/04 - Devices holding, supporting, or positioning, work or tools, of a kind normally removable from the machine for mounting on a work-table, tool-slide, or analogous part adjustable in inclination

95.

Reflective Waveplates for Pupil Polarization Filtering

      
Application Number 17829296
Status Pending
Filing Date 2022-05-31
First Publication Date 2023-06-15
Owner KLA Corporation (USA)
Inventor
  • Shen, Chong
  • Zhao, Guoheng
  • Whiteside, Bret

Abstract

An optical inspection system includes one or more gratings to convert the polarization of light scattered from a target from an elliptical polarization that varies spatially across a collection pupil to a linear polarization that is uniformly oriented across the collection pupil. The one or more gratings have phase retardation that varies spatially across the collection pupil in accordance with the elliptical polarization. The one or more gratings include at least one grating on a reflective substrate. The optical inspection system also includes a linear polarizer to filter out the linearly polarized light.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • G02B 5/30 - Polarising elements
  • G02B 5/18 - Diffracting gratings
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

96.

PROCESS WINDOW QUALIFICATION MODULATION LAYOUTS

      
Application Number 17730116
Status Pending
Filing Date 2022-04-26
First Publication Date 2023-06-08
Owner KLA CORPORATION (USA)
Inventor
  • Cross, Andrew
  • Sah, Kaushik
  • Plihal, Martin

Abstract

Process window qualification (PWQ) layouts can be used to determine a presence of a pattern anomaly associated with the pattern, patterning process, or patterning apparatus. For example, a modulated die or field can be compared to a slightly lower offset modulated die or field. In another example, the high to low corners for a particular condition or combination of conditions are compared. In yet another example, process modulation parameters can be used to estimate criticality of particular weak points of interest.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 7/00 - Image analysis
  • G03F 7/20 - Exposure; Apparatus therefor

97.

Methods And Systems For Data Driven Parameterization And Measurement Of Semiconductor Structures

      
Application Number 17993565
Status Pending
Filing Date 2022-11-23
First Publication Date 2023-06-01
Owner KLA Corporation (USA)
Inventor
  • Pandev, Stilian Ivanov
  • Jayaraman, Arvind
  • Roy, Proteek Chandan
  • Park, Hyowon
  • Gellineau, Antonio Arion
  • Yoo, Sungchol

Abstract

Methods and systems for generating optimized geometric models of semiconductor structures parameterized by a set of variables in a latent mathematical space are presented herein. Reference shape profiles characterize the shape of a semiconductor structure of interest over a process space. A set of observable geometric variables describing the reference shape profiles is transformed to a set of latent variables. The number of latent variables is smaller than the number of observable geometric variables, thus the dimension of the parameter space employed to characterize the structure of interest is reduced. This dramatically reduces the mathematical dimension of the measurement problem to be solved. As a result, measurement model solutions involving regression are more robust, and training of machine learning based measurement models is simplified. Geometric models parameterized by a set of latent variables are useful for generating measurement models for optical metrology, x-ray metrology, and electron beam based metrology.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

98.

United states frequency conversion using interdigitated nonlinear crystal gratings

      
Application Number 18087236
Grant Number 11815784
Status In Force
Filing Date 2022-12-22
First Publication Date 2023-05-25
Grant Date 2023-11-14
Owner KLA Corporation (USA)
Inventor
  • Chuang, Yung-Ho Alex
  • Xiao-Li, Yinying
  • Loginova, Elena
  • Fielden, John
  • Zhang, Baigang
  • Liu, Xuefeng
  • Weekley Mauser, Kelly Ann

Abstract

A nonlinear crystal grating assembly including two integral nonlinear crystal grating structures having inverted crystal axes and having parallel spaced-apart mesas with predetermined mesa widths arranged such that, when assembled in an interdigitated configuration, the mesas of the two grating structures form an alternating grating pattern that is aligned with a propagation direction of input light, thereby creating a periodic structure for quasi-phase-matching (QPM). The nonlinear crystal grating structures are formed using strontium tetraborate, lithium triborate or another nonlinear crystal material. The nonlinear crystal grating assembly is utilized in a laser assembly in which fundamental wavelengths are doubled and/or summed using intermediate frequency conversion stages, and then a final frequency converting stage utilizes the nonlinear crystal grating assembly to double or sum one or more intermediate light beam frequencies to generate laser output light at high power and photon energy levels. A method and inspection system are also described.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G02F 1/355 - Non-linear optics characterised by the materials used

99.

Moiré scatterometry overlay

      
Application Number 17562844
Grant Number 11841621
Status In Force
Filing Date 2021-12-27
First Publication Date 2023-05-04
Grant Date 2023-12-12
Owner KLA Corporation CA (USA)
Inventor
  • Hill, Andrew V.
  • Levinski, Vladimir
  • Manassen, Amnon
  • Paskover, Yuri

Abstract

An overlay metrology system may scan a sample including inverted Moiré structure pairs along a scan direction, include an illumination sub-system to illuminate first and second Moiré structures of one of an inverted Moiré structure pair with common mutually coherent illumination beam distributions, and include an objective lens to capture at least +/−1 diffraction orders from sample, where a first pupil plane includes overlapping distributions of the collected light with an interference pattern associated with relative wavefront tilt. The system may also include a diffractive element in the first pupil plane, where one diffraction order associated with the first Moiré structure and one diffraction order associated with the second Moiré structure overlap at a common overlap region in a field plane, and a collection field stop located in the field plane to pass light in the common overlap region and block remaining light and remove the relative wavefront tilt.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 11/14 - Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

100.

SYSTEMS AND METHODS FOR UNIFORM COOLING OF ELECTROMAGNETIC COIL

      
Application Number 17568215
Status Pending
Filing Date 2022-01-04
First Publication Date 2023-05-04
Owner KLA Corporation (USA)
Inventor
  • Florendo, Oscar
  • Nguyen, Vincent

Abstract

A system and method for uniform cooling of an electromagnetic coil are provided. The system includes an electromagnetic coil, a cooling structure, and a cooling fluid source. The cooling structure surrounds the entirety of the perimeter of the electromagnetic coil, and includes a first cooling channel and a second cooling channel arranged alternately about the electromagnetic coil. The cooling fluid source is configured to deliver a first cooling fluid to the first cooling channel and a second cooling fluid to the second cooling channel, such that the first cooling fluid and the second cooling fluid cool the electromagnetic coil.

IPC Classes  ?

  1     2     3     ...     7        Next Page