Tokyo Electron Limited

Japon

Retour au propriétaire

1-100 de 4 157 pour Tokyo Electron Limited et 1 filiale Trier par
Recheche Texte
Brevet
International - WIPO
Excluant les filiales
Affiner par Reset Report
Propriétaire / Filiale
[Owner] Tokyo Electron Limited 4 157
Tokyo Electron America, Inc. 93
Date
Nouveautés (dernières 4 semaines) 65
2024 avril (MACJ) 57
2024 mars 33
2024 février 34
2024 janvier 43
Voir plus
Classe IPC
H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs 874
H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches 492
H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes 454
H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou 438
H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe 415
Voir plus
Résultats pour  brevets
  1     2     3     ...     42        Prochaine page

1.

SELECTIVE GAS PHASE ETCH OF SILICON GERMANIUM ALLOYS

      
Numéro d'application US2023032157
Numéro de publication 2024/085974
Statut Délivré - en vigueur
Date de dépôt 2023-09-07
Date de publication 2024-04-25
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Kanaki, Toshiki
  • Kal, Subhadeep
  • Mosden, Aelan
  • Otto Iv, Ivo
  • Matsumoto, Masashi
  • Irie, Shinji

Abrégé

Methods for selective etching of one layer or material relative to another layer or material adjacent thereto. In an example, a SiGe layer is etched relative to or selective to another silicon containing layer which either contains no germanium or geranium in an amount less than that of the target layer.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

2.

DIFFRACTION GRATING FORMATION METHOD

      
Numéro d'application JP2023036136
Numéro de publication 2024/084965
Statut Délivré - en vigueur
Date de dépôt 2023-10-04
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Higuchi, Takuya
  • Tanaka, Fumiaki
  • Maehara, Hiroki

Abrégé

Provided is a technique for forming a diffraction grating using a high-refractive-index material. This method for forming a diffraction grating on a substrate having permeability includes: (a) a step for forming, on the substrate, a first structure including recessed portions corresponding to protruding portions of the diffraction grating using a first material; (b) a step for providing a second material to the recessed portions of the first structure on the substrate to form a second structure including the diffraction grating; and (3) a step for removing the first structure.

Classes IPC  ?

  • G02B 5/18 - Grilles de diffraction
  • B81C 1/00 - Fabrication ou traitement de dispositifs ou de systèmes dans ou sur un substrat
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

3.

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

      
Numéro d'application JP2023036707
Numéro de publication 2024/085017
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Tai, Masaki

Abrégé

The present invention provides a plasma processing apparatus which is provided with: a plasma processing chamber; an antenna which is provided on the upper part of or above the plasma processing chamber; an RF power supply which is electrically connected to the antenna and is configured such that the frequency of the output power can be controlled; and a control unit. The RF power supply outputs a first output power which has a first frequency, and a second output power which has a second frequency and which is lower than the output power having the first frequency. The control unit executes: (a) a process for sweeping the second frequency, and searching for and identifying the resonance point; and (b) a process for tuning the first frequency to the resonance point.

Classes IPC  ?

  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

4.

SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT DEVICE

      
Numéro d'application JP2023036703
Numéro de publication 2024/085016
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Dinh, Congque
  • Nagahara, Seiji
  • Muramatsu, Makoto

Abrégé

Provided is a substrate treatment method including a step for developing a substrate wherein a negative-type metal-containing resist film is formed and subjected to an exposure treatment and a heat-treatment after the exposure treatment, said substrate being developed using a polar development material and a nonpolar development material.

Classes IPC  ?

  • G03F 7/32 - Compositions liquides à cet effet, p.ex. développateurs
  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/30 - Dépouillement selon l'image utilisant des moyens liquides
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

5.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application JP2023036392
Numéro de publication 2024/084987
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nakashima, Mikio
  • Umezaki, Shota
  • Hayashida, Takahiro

Abrégé

This substrate processing apparatus comprises: a processing vessel that has a carry in/out opening for substrates, and that accommodates the substrates; a lid that opens/closes the carry in/out opening; a gate opening/closing part that moves the lid; a substrate transfer part that holds and passes the substrate through the carry in/out opening; a first open/close valve that opens/closes a first flow path for supplying a purge gas to the processing vessel; and a control unit. The control unit determines whether carrying out of one of the substrates is followed by carrying in of another substrate. If carrying out of said one substrate is followed by carrying in of said another substrate, the control unit performs the carrying in of said another substrate after the carrying out of said one substrate, without switching the carry in/out opening from open state to closed state, and without switching the first flow path from closed state to open state.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

6.

PROCESSING FLUID SUPPLY APPARATUS AND PROCESSING FLUID SUPPLY METHOD

      
Numéro d'application JP2023036677
Numéro de publication 2024/085014
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nakashima, Mikio
  • Umezaki, Shota
  • Hayashida, Takahiro

Abrégé

A processing fluid supply apparatus (70) according to one aspect of the present disclosure is provided with a supply line (61), a cooling unit, a pump (75), a return line (90), a heating unit, and a flow volume adjustment mechanism. The supply line (61) supplies a processing fluid from a processing fluid supply source (60) to a substrate processing apparatus (1), the processing fluid supply source (60) supplying the processing fluid in gaseous state. The cooling unit is provided in the supply line (61), and generates a processing fluid in liquid state by cooling the processing fluid in gaseous state. The pump (75) is provided downstream of the cooling unit in the supply line (61). The return line (90), which is branched from a branch portion (76) positioned downstream of the pump (75) in the supply line (61), returns the processing fluid to a converging portion (71) positioned upstream of the cooling unit in the supply line (61). The heating unit is provided in the return line (90) to heat the processing fluid. The flow volume adjustment mechanism adjusts the flow volume of the processing fluid supplied to the heating unit.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

7.

METHOD TO SELECTIVELY ETCH SILICON NITRIDE TO SILICON OXIDE USING WATER CRYSTALLIZATION

      
Numéro d'application US2023032042
Numéro de publication 2024/085970
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-04-25
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Tsai, Yu-Hao
  • Wang, Mingmei
  • Zhang, Du

Abrégé

i.ei.ei.e., step 1).

Classes IPC  ?

8.

RESONANT ANTENNA FOR PHYSICAL VAPOR DEPOSITION APPLICATIONS

      
Numéro d'application US2023029371
Numéro de publication 2024/085938
Statut Délivré - en vigueur
Date de dépôt 2023-08-03
Date de publication 2024-04-25
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Lane, Barton
  • Takagi, Masaki

Abrégé

Systems and methods provide a solution for efficiently generating high density plasma for a physical vapor deposition (PVD). The present solution includes a vacuum chamber for a PVD process. The system can include a target located within the vacuum chamber for sputtering a material onto a wafer. The system can include a resonant structure formed by an antenna and a plurality of capacitors. The resonant structure can be configured to provide a pulsed output at a resonant frequency. The resonant structure can be configured to generate, via the antenna and based on the pulsed output, a plasma between the target and a location of the wafer to ionize the material sputtered from the target.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

9.

PROCESSOR THAT IMPLEMENTS INDIRECT ADDRESSING-STYLE CONDITIONAL JUMP INSTRUCTIONS, PROGRAM RECORDING MEDIUM, AND METHOD

      
Numéro d'application JP2023030696
Numéro de publication 2024/084809
Statut Délivré - en vigueur
Date de dépôt 2023-08-25
Date de publication 2024-04-25
Propriétaire
  • TAKEOKA LAB CORPORATION (Japon)
  • TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Takeoka, Shozo
  • Kinoshita, Yoshio

Abrégé

Provided is a processor that reduces assembly code in a subroutine of an indirect addressing-style conditional jump. This processor includes a logic circuit implementing conditional jump instructions of assembly code, and is logically configured so as to store, in an index register, index register numbers serving as the indexes to jump destination effective addresses, and store, in respective reference registers, jump destination effective addresses differing from one another or address offsets to jump destination effective addresses differing from one another, and then, upon having fetched and decoded a conditional jump instruction, which is one instruction referencing the index register, (S1) acquire the jump destination effective address or the address offset from the reference register corresponding to an index register number in the content of the index register, and (S2) set, in a register serving as a program counter, the acquired jump destination effective address or a jump destination effective address that was calculated from the address offset.

Classes IPC  ?

  • G06F 9/32 - Formation de l'adresse de l'instruction suivante, p.ex. par incrémentation du compteur ordinal

10.

FLUID SUPPLY SYSTEM, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application JP2023026064
Numéro de publication 2024/084757
Statut Délivré - en vigueur
Date de dépôt 2023-07-14
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Hayashida, Takahiro
  • Umezaki, Shota
  • Nakashima, Mikio

Abrégé

A fluid supply system according to one aspect of the present disclosure supplies a fluid into a processing container in which a substrate is to be processed, said fluid supply system comprising: a processing fluid supply unit that supplies a processing fluid; a fluid supply path that is connected to the processing fluid supply unit and the processing container and that causes the processing fluid the temperature of which has been adjusted to flow into the processing container; a first heating mechanism that is provided to the fluid supply path and that heats the processing fluid to a first temperature; and a second heating mechanism that is provided to the fluid supply path and that heats the processing fluid to a second temperature which is lower than the first temperature, wherein the processing fluid supply unit has a flow rate adjustment mechanism that adjusts the flow rate of the processing fluid, and the fluid supply path has a first branch flow path that causes the processing fluid to pass through the first heating mechanism and flow into the processing container, and a second branch flow path that causes the processing fluid to pass through the second heating mechanism and flow into the processing container.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

11.

METHOD FOR FABRICATING A FERROELECTRIC DEVICE

      
Numéro d'application US2023076990
Numéro de publication 2024/086529
Statut Délivré - en vigueur
Date de dépôt 2023-10-16
Date de publication 2024-04-25
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
  • FERROELECTRIC MEMORY GMBH (Allemagne)
Inventeur(s)
  • Triyoso, Dina
  • Clark, Robert
  • Tapily, Kandabara
  • Schenk, Tony
  • Kashir, Alireza
  • Müeller, Stefan Ferdinand

Abrégé

A method for fabricating a ferroelectric device includes providing a lower electrode layer on a substrate, forming a retention enhancement layer by oxidizing a surface of the lower electrode layer using a gas phase oxidation process, and depositing a ferroelectric high-k metal oxide layer over the retention enhancement layer on the lower electrode layer using a vapor deposition process. The retention enhancement layer on the lower electrode layer increases the retention performance and reliability of the ferroelectric device.

Classes IPC  ?

  • H10N 97/00 - Dispositifs électriques à l’état solide à film mince ou à film épais, non prévus ailleurs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H10B 53/30 - Dispositifs RAM ferro-électrique [FeRAM] comprenant des condensateurs ferro-électriques de mémoire caractérisés par la région noyau de mémoire

12.

FLUID SUPPLY SYSTEM, SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application JP2023036501
Numéro de publication 2024/085000
Statut Délivré - en vigueur
Date de dépôt 2023-10-06
Date de publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Hayashida, Takahiro
  • Nakashima, Mikio
  • Umezaki, Shota

Abrégé

A fluid supply system according to one embodiment of the present disclosure supplies a fluid into a process chamber in which a substrate is processed, and this fluid supply system comprises: a first fluid supply unit which has a first supply valve and supplies a first fluid; a second fluid supply unit which has a second supply valve and supplies a second fluid; a fluid supply path which is connected to the first fluid supply unit, the second fluid supply unit and the process chamber, and supplies the first fluid and the second fluid into the process chamber; a heating mechanism which heats the first fluid and the second fluid, while being provided on the fluid supply path at a position that is in the downstream of the positions at which the first fluid supply unit and the second fluid supply unit are connected to the fluid supply path; and a control unit which controls those units of this fluid supply system. The control unit executes: a process in which the second supply valve is opened so as to supply the second fluid, which has been heated by the heating mechanism, into the process chamber when the first fluid is not supplied into the process chamber; and a process in which the second supply valve is closed so as to stop the supply of the second fluid into the process chamber before the substrate is carried into the process chamber. The second fluid supply process comprises a step for setting the set temperature of the heating mechanism to the same temperature as the set temperature of the heating mechanism at the time when the firs fluid is supplied into the process chamber.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

13.

PLASMA TREATMENT DEVICE AND PLASMA TREATMENT METHOD

      
Numéro d'application JP2023031707
Numéro de publication 2024/080022
Statut Délivré - en vigueur
Date de dépôt 2023-08-31
Date de publication 2024-04-18
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Otomo Hiroshi

Abrégé

[Problem] To adjust energy of only ions of a specific type that are included in plasma. [Solution] This plasma treatment device comprises: a first electrode and a second electrode that face the inside of a treatment container which accommodates a substrate; a first high-frequency power source that supplies high-frequency power for generating plasma to the first electrode, and a second high-frequency power source that supplies high-frequency power to the second electrode; a sensor unit that measures a state of the plasma generated inside the treatment container; and a control unit. The second high-frequency power source can arbitrarily set the frequency of the high-frequency power supplied to the second electrode. The control unit acquires an ion plasma frequency of ions of a specific type on the basis of the results of measurement by the sensor unit and sets the frequency of the high-frequency power supplied to the second electrode to the ion plasma frequency, such that a high-frequency voltage having the ion plasma frequency is applied from the second electrode to the plasma.

Classes IPC  ?

  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • H01L 21/205 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale en utilisant la réduction ou la décomposition d'un composé gazeux donnant un condensat solide, c. à d. un dépôt chimique
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

14.

INFORMATION PROCESSING METHOD, COMPUTER PROGRAM, AND INFORMATION PROCESSING DEVICE

      
Numéro d'application JP2023036834
Numéro de publication 2024/080293
Statut Délivré - en vigueur
Date de dépôt 2023-10-11
Date de publication 2024-04-18
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Saitou, Yukiya
  • Sano, Kei

Abrégé

Provided are an information processing method, a computer program, and an information processing device with which it can be expected to be able to perform control or the like of a subject device while correcting for mechanical differences from a reference device. The information processing device: acquires a sensor value of a subject device; inputs the acquired sensor value of the subject device into a sensor value conversion model; acquires a sensor value of the reference device that is output from the sensor value conversion model; inputs the acquired sensor value of the reference device, together with a desired target value, into a control input value determination model; acquires a control input value of the reference device that is output from the control input value determination model; inputs the acquired control input value of the reference device into the control input value conversion model; acquires a control input value of the subject device that is output from the control input value conversion model; and controls the subject device on the basis of the acquired control input value of the subject device.

Classes IPC  ?

  • G05B 13/02 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques
  • G05B 13/04 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs

15.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING DEVICE

      
Numéro d'application JP2023034347
Numéro de publication 2024/075539
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nakane Yuta
  • Kumakura Sho
  • Katahira Takeshi
  • Yonezawa Takahiro

Abrégé

This substrate processing method includes (a) a step in which a substrate is provided, (b) a step in which a first processing gas containing an amino group and silicon is supplied to the substrate and a first layer is formed on the substrate, and (c) a step in which a metal-containing film is formed by reacting a second processing gas containing a metal halide-containing gas with the first layer.

Classes IPC  ?

  • C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

16.

BONDING DEVICE, BONDING SYSTEM, AND BONDING METHOD

      
Numéro d'application JP2023034679
Numéro de publication 2024/075562
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Yamasaki, Yutaka
  • Terada, Takashi

Abrégé

Provided is a bonding device for bonding a first substrate and a second substrate, the bonding device including: a first holding part that holds the first substrate by attraction; a second holding part that is disposed at a position facing the first holding part and that holds the second substrate by attraction; a processing container that accommodates the first holding part and the second holding part and that forms a processing space isolated from the outside; a moving mechanism that relatively moves the first substrate held by the first holding part and the second substrate held by the second holding part to a close position and a spaced position; a decompression unit that is connected to the processing container and that decompresses the processing space; and a pressing mechanism that brings the central sections of the first substrate and the second substrate into contact with each other at the close position and that presses at least the first substrate. The first holding part includes an electrostatic attraction part for electrostatically attracting the first substrate.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

17.

SUBSTRATE PROCESSING SYSTEM, AND CONVEYANCE METHOD

      
Numéro d'application JP2023034920
Numéro de publication 2024/075592
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Okamura, Tatsuru
  • Makabe, Toshiyuki
  • Kita, Masatomo
  • Yanagi, Yoshihiro

Abrégé

This substrate processing system includes a processing module, a vacuum conveyance module that is connected to the processing module and has a conveyance robot conveying a ring, a temperature adjustment unit that can adjust the temperature of the ring, and a control unit. The control unit performs, in order, a step for using the temperature adjustment unit to adjust the temperature of the ring before the ring is conveyed into the processing module, and a step for using the conveyance robot to convey the ring, the temperature of which has been adjusted by the temperature adjustment unit, and place the same on a substrate support unit.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

18.

PLASMA TREATMENT DEVICE, POWER SUPPLY SYSTEM, AND FREQUENCY CONTROL METHOD

      
Numéro d'application JP2023034969
Numéro de publication 2024/075596
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Koshimizu Chishio

Abrégé

The disclosed plasma treatment device comprises a chamber, a substrate-supporting portion, a high-frequency power supply, and a control unit. The substrate-supporting portion is provided inside the chamber. The high-frequency power supply is configured to supply source high-frequency electric power in order to generate plasma from gas within the chamber. The control unit is configured to set the source frequency of the source high-frequency electric power when the source high-frequency electric power is being supplied alone, in accordance with the extent of reflection of the source high-frequency electric power and the source frequency when the source high-frequency electric power has been supplied alone previously, such that the extent of reflection of the source high-frequency electric power is minimized.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

19.

SUBSTRATE PROCESSING DEVICE AND ELECTROSTATIC CHUCK

      
Numéro d'application JP2023036250
Numéro de publication 2024/075785
Statut Délivré - en vigueur
Date de dépôt 2023-10-04
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Satake, Daisuke

Abrégé

This substrate processing device comprises a chamber, an electrostatic chuck, a power source, and a control unit. The electrostatic chuck is provided inside a chamber and a substrate is placed thereon. Furthermore, the electrostatic chuck has a plurality of electrodes disposed in a direction that follows an upper surface of the electrostatic chuck. The power source applies a voltage across the plurality of electrodes to generate an electrostatic force in the plurality of electrodes. The control unit controls the power source such that the magnitude of the voltage applied to at least one electrode among the plurality of electrodes becomes a magnitude which is different than the magnitude of the voltage applied to the other electrodes.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

20.

SUBSTRATE TREATMENT SYSTEM AND EDGE RING ATTACHMENT METHOD

      
Numéro d'application JP2023030543
Numéro de publication 2024/075423
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Aramaki, Takashi
  • Li, Lifu
  • Sasaki, Nobutaka
  • Akama, Toshiki
  • Kato, Shusei
  • Park, Gyeong Min
  • Shimizu, Wataru
  • Koitabashi, Ryota

Abrégé

Provided is a substrate treatment system comprising a plasma treatment device, a reduced pressure transfer device that is connected to the plasma treatment device, and a control device. The plasma treatment device has: a treatment container that is configured such that the pressure thereof can be reduced; a substrate support base that is provided inside the treatment container, that includes a substrate placement surface, a ring placement surface on which an edge ring is placed so as to surround the substrate, and an electrostatic chuck which electrostatically clamps the edge ring to the ring placement surface, and that is connected to a power source which supplies direct current voltage in pulses for bias; a raising/lowering mechanism that raises and lowers the edge ring; and a plasma generation unit that generates plasma in the treatment container. The reduced pressure transfer device has a transfer robot that transfers the edge ring. The control device controls: a step for lowering, with the raising/lowering mechanism, the edge ring that has been transferred in the treatment container by the transfer robot and received by the raising/lowering mechanism, and placing the edge ring on the ring placement surface; a step for electrostatically clamping, to the ring placement surface, the edge ring that has been placed; and a step for generating plasma in the treatment container prior to plasma treatment of the substrate and stabilizing the electrostatically clamping of the edge ring to the electrostatic chuck. The stabilizing step includes a step for applying, to the substrate support base, the direct current voltage in pulses for bias. The step for applying the direct current voltage includes a first step for applying a first bias voltage and a second step for applying a second bias voltage that is higher than the first bias voltage after the first step.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • B65G 49/07 - Systèmes transporteurs caractérisés par leur utilisation à des fins particulières, non prévus ailleurs pour des matériaux ou objets fragiles ou dommageables pour des plaquettes semi-conductrices
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

21.

BONDING DEVICE, BONDING SYSTEM, AND BONDING METHOD

      
Numéro d'application JP2023034693
Numéro de publication 2024/075566
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nakamitsu, Takashi
  • Fukushima, Hideyuki
  • Wakamoto, Yukihiro
  • Matuo, Yuhei
  • Saiki, Keiichi
  • Kohama, Norifumi

Abrégé

A bonding method for bonding substrates together, the method comprising: (a) a step for moving a first holding part and a second holding part relative to each other by a movement mechanism so as to cause a first substrate being held on the undersurface of the first holding part and a second substrate being held on the top surface of the second holding part to be disposed to face each other; (b) a step for bringing the central part of the first substrate and the central part of the second substrate into abutment with each other by means of an abutment member; (c) a step for bonding the first substrate and the second substrate from the central part of the first substrate toward the outer peripheral part thereof in a state in which the central part of the first substrate and the central part of the second substrate are in abutment with each other; and (d) a step for measuring, at least in said step (c), a real position of the first holding part and/or the second holding part moved in the step (a), and inspecting the state of the bonding process on the basis of the real position.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • G01B 21/00 - Dispositions pour la mesure ou leurs détails, où la technique de mesure n'est pas couverte par les autres groupes de la présente sous-classe, est non spécifiée ou est non significative

22.

SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE ABNORMALITY DETECTION METHOD

      
Numéro d'application JP2023034814
Numéro de publication 2024/075579
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nagai, Ryu
  • Ishikawa, Shinya
  • Sato, Kenta
  • Tanaka, Koki

Abrégé

This substrate processing system comprises a load port, a processing chamber, a measurement unit, and a control unit. The load port is configured such that a storage container containing a substrate can be connected thereto. The processing chamber is configured to implement substrate processing on the substrate. The measurement unit is provided on a path for transporting the substrate between the storage container and the processing chamber, and is configured to measure a spectrum distribution in the plane of the substrate. The control unit is configured to create an outlier detection model on the basis of the spectrum distribution in the plane of the substrate measured by the measurement unit, use the created outlier detection model to calculate a score in the plane of the substrate from the measured spectrum distribution in the plane of the substrate, and detect abnormality in the substrate on the basis of the calculated score.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/956 - Inspection de motifs sur la surface d'objets

23.

SURFACE OBSERVATION METHOD

      
Numéro d'application JP2023035017
Numéro de publication 2024/075598
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • KYOTO UNIVERSITY (Japon)
Inventeur(s)
  • Oowada, Shin
  • Asako, Ryuichi
  • Shimura, Satoru
  • Tanaka, Kazuo
  • Ito, Shunichiro
  • Chujo, Yoshiki
  • Yuhara, Kazuhiro

Abrégé

This surface observation method comprises a step a) and a step b). In the step a), materials including one or more kinds of solid light-emitting dye molecules are accumulated in a region, of a substrate or a structure on the substrate, having an abnormal shape. In the step b), a fluorescent image of the solid light-emitting dye molecules is acquired by applying illumination light to the region, of the substrate or the structure on the substrate, having the abnormal shape.

Classes IPC  ?

  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage
  • G01N 21/70 - Systèmes dans lesquels le matériau analysé est excité de façon à ce qu'il émette de la lumière ou qu'il produise un changement de la longueur d'onde de la lumière incidente excité mécaniquement, p.ex. par triboluminescence
  • G01N 21/91 - Recherche de la présence de criques, de défauts ou de souillures en utilisant la pénétration de colorants, p.ex. de l'encre fluorescente
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

24.

SYSTEM AND METHOD FOR PLASMA PROCESS UNIFORMITY CONTROL

      
Numéro d'application US2023028448
Numéro de publication 2024/076410
Statut Délivré - en vigueur
Date de dépôt 2023-07-24
Date de publication 2024-04-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Voronin, Sergey
  • Wang, Qi

Abrégé

A method of plasma processing includes delivering direct current voltage to a substrate holder including an upper side configured to support a substrate disposed within a plasma processing chamber. The upper side is divided into a plurality of zones by a plurality of conductors electrically isolated from each other. The method further includes pulsing the direct current voltage as first direct current pulses to a first conductor of the plurality of conductors using first pulse parameters, and pulsing the direct current voltage as second direct current pulses to a second conductor of the plurality of conductors using second pulse parameters that are different from the first pulse parameters. The direct current voltage is pulsed to the second conductor while pulsing the direct current voltage to the first conductor.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

25.

SHIFTED MULTI-VIA CONNECTION FOR HYBRID BONDING

      
Numéro d'application US2023034072
Numéro de publication 2024/076497
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s) Ryan, Kevin

Abrégé

Shifted multi-via connections are disclosed. A method includes providing a first contact array structure on a first substrate. The first contact array structure includes a plurality of first contacts. The method includes providing a second contact array structure on a second substrate. The second contact array structure includes a plurality of second contacts configured to interface with the plurality of first contacts. The method includes bonding the first substrate to the second substrate. Portions of the first contact array structure, the second contact array structure or both the first and second contact array structures are intentionally shifted to compensate for misalignment that occurs during the bonding of the first substrate to the second substrate.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

26.

SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application JP2023029148
Numéro de publication 2024/070267
Statut Délivré - en vigueur
Date de dépôt 2023-08-09
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Ishikawa, Shinya
  • Nagai, Tsutomu
  • Yamamoto, Kyouhei
  • Tsuda, Takafumi

Abrégé

This substrate processing device comprises: a chamber; and a substrate support part that is disposed in the chamber and that supports a substrate. The substrate support part has: a first base having a substrate support surface supporting the substrate; and a second base disposed below the first base. The first base includes a heater, a first heat transfer part, and a second heat transfer part. The heater is embedded inside the first base. The first heat transfer part is provided inside the first base, which is spaced further apart from the substrate support surface than the heater and in a position corresponding to a first region, which includes a central region, of the substrate support surface. The second heat transfer part is provided inside the first base, which is spaced further apart from the substrate support surface than the heater and in a position corresponding to a second region surrounding the first region, and has a greater thermal conductivity than the first heat transfer part. Furthermore, the second base is cooled.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

27.

PLASMA TREATMENT DEVICE AND ETCHING METHOD

      
Numéro d'application JP2023029158
Numéro de publication 2024/070268
Statut Délivré - en vigueur
Date de dépôt 2023-08-09
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Torii, Natsumi
  • Takayama, Wataru
  • Suzuki, Takayuki
  • Kato, Hiroki

Abrégé

A plasma treatment device according to the present invention comprises: a plasma treatment chamber; a substrate support body that includes a lower electrode, an electrostatic chuck, and an edge ring; an upper electrode that is disposed above the substrate support body; a source RF power supply that supplies source RF power to the upper electrode or the lower electrode; a bias power supply that supplies bias power to the lower electrode; a direct-current power supply that applies direct-current voltage that has negative polarity to the edge ring; an RF filter that is electrically connected between the edge ring and the direct-current power supply and includes at least one variable passive element; and a control unit that is configured to control the direct-current power supply and the variable passive element so as to adjust the incidence angle of the ions in plasma at an edge region of a substrate mounted on the electrostatic chuck and adjust the voltage of the bias power to an allowable range.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/00 - Production du plasma; Mise en œuvre du plasma
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

28.

COMMUNICATION SYSTEM, CALCULATION DEVICE, AND COMMUNICATION METHOD

      
Numéro d'application JP2023029639
Numéro de publication 2024/070304
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Tokairin Motoki

Abrégé

Disclosed is a communication system comprising a main device, a plurality of subordinate devices, and a calculation device. A data frame that is transmitted to the plurality of subordinate devices includes a plurality of datagrams for the respective ones of the plurality of subordinate devices. Each of the plurality of datagrams includes setting data for a corresponding subordinate device among the plurality of subordinate devices, and monitor data that is to be written in the corresponding subordinate device. The calculation device is configured to determine, on the basis of the monitor data of each of the plurality of subordinate devices in the data frame received in a preceding communication cycle, updated setting data for each of the plurality of datagrams in a data frame to be transmitted to the plurality of subordinate devices in a subsequent communication cycle.

Classes IPC  ?

  • H04L 12/28 - Réseaux de données à commutation caractérisés par la configuration des liaisons, p.ex. réseaux locaux [LAN Local Area Networks] ou réseaux étendus [WAN Wide Area Networks]
  • C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

29.

COOLING DEVICE, SUBSTRATE PROCESSING DEVICE, AND COOLING METHOD

      
Numéro d'application JP2023031593
Numéro de publication 2024/070457
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Ikeda Kyoko

Abrégé

This cooling device cools a to-be-cooled item using a gas, and comprises: a case that accommodates the to-be-cooled item and includes side walls that surround the periphery of the to-be-cooled item; a plurality of supply holes that are disposed in the side walls of the case at an interval, and that are flow paths to allow the gas to flow into the case from a space outside the case; and a discharge path that is formed in the case, and that is for discharging the gas inside the case. In order to form a rotational flow that rotates in the case along the side walls, the plurality of supply holes are formed so as to be oriented in directions such that the gas is discharged along the direction of the rotational flow when the case is viewed in a plan view.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches

30.

PLASMA PROCESSING DEVICE AND POWER SUPPLY SYSTEM

      
Numéro d'application JP2023032784
Numéro de publication 2024/070578
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Iwano, Mitsuhiro
  • Noro, Motoki

Abrégé

Provided is technology for improving the controllability of plasma generated on a substrate. This plasma processing device includes: a chamber; a substrate support part provided within the chamber, the substrate support part including a lower electrode; an upper electrode disposed above the substrate support part; a first RF power supply configured to supply a first RF signal having a first RF frequency to the upper electrode or to the lower electrode; a second RF power supply configured to supply a second RF signal having a second RF frequency to the lower electrode; and a third RF power supply configured to supply a third RF signal having a third RF frequency to the lower electrode. The three RF power supplies supply RF signals at respective electric power levels in three periods within respective cycles.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

31.

INSPECTION METHOD, INSPECTION DEVICE, AND PROGRAM

      
Numéro d'application JP2023033304
Numéro de publication 2024/070678
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Hayashi, Hiroaki
  • Sano, Satoshi
  • Takahashi, Miyoshi
  • Akiyama, Kazuya
  • Wada, Reo

Abrégé

Provided is a technology capable of precisely bringing a probe into contact with an electrode formed on an object to be inspected. Provided is an inspection method that is executed by an inspection device comprising a placement stage on which the object to be inspected is placed and a probe card provided with a probe that is used for the inspection of the object to be inspected, the inspection method executing a step for bringing the probe into contact with the electrode on the basis of a first offset value, a step for setting a second offset value based on a probe mark region formed as a result of the contact of the probe with the electrode on the basis of the first offset value, and a step for bringing the probe into contact with the electrode on the basis of the second offset value.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01R 31/26 - Test de dispositifs individuels à semi-conducteurs

32.

FILM FORMING METHOD AND FILM FORMING DEVICE

      
Numéro d'application JP2023033327
Numéro de publication 2024/070683
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Yamada, Kazuki
  • Murakami, Hiroki
  • Sakai, Shuichiro
  • Yamaji, Tomohito

Abrégé

This film forming method includes: preparing a substrate having a resist film in the upper surface of which an opening is formed; supplying the substrate with a metal-containing gas that contains a metal, thereby causing the metal to permeate at least the upper section of the resist film; and supplying the substrate with a precursor gas containing silanol, thereby selectively forming a protective film containing silicon and oxygen on the upper surface of the resist film as compared to the side surface and bottom surface of the opening.

Classes IPC  ?

  • H01L 21/316 - Couches inorganiques composées d'oxydes, ou d'oxydes vitreux, ou de verres à base d'oxyde
  • G03F 7/095 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires ayant plus d'une couche photosensible
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches

33.

FILM FORMING METHOD, FILM FORMING DEVICE, AND FILM FORMING SYSTEM

      
Numéro d'application JP2023033332
Numéro de publication 2024/070685
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Yamauchi Susumu

Abrégé

This film forming method for forming a molybdenum film or a tungsten film includes: preparing a substrate; forming a film containing molybdenum or tungsten on the substrate by means of ALD using an organometallic source gas containing molybdenum or tungsten and a reactant gas; and performing treatment in which ions are made to act on the film during or after the film formation.

Classes IPC  ?

  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

34.

METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE

      
Numéro d'application JP2023033862
Numéro de publication 2024/070800
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Ikejiri Masahiro
  • Akiyama Koji
  • Fujiwara Naonori
  • Yamazaki Kazuyoshi

Abrégé

A method for producing a semiconductor device which comprises: forming a lower electrode on a substrate; forming, on the lower electrode, a high-permittivity film comprising an oxide containing a tetravalent metal cation; forming, on the high-permittivity film, an oxide film comprising an oxide containing a pentavalent metal cation; reacting the high-permittivity film with the oxide film to form an electroconductive mixture layer comprising a mixture of an oxide containing the tetravalent metal cation and an oxide containing the pentavalent metal cation; and forming an upper electrode.

Classes IPC  ?

  • H01L 21/316 - Couches inorganiques composées d'oxydes, ou d'oxydes vitreux, ou de verres à base d'oxyde
  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]

35.

SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT SYSTEM

      
Numéro d'application JP2023033864
Numéro de publication 2024/070801
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Iwashita Mitsuaki
  • Kikuchi Yuki
  • Nakamura Genji
  • Nagai Hiroyuki
  • Kawano Yumiko
  • Azumo Shuji
  • Fujita Keiichi

Abrégé

This substrate treatment method includes: forming an Ru film on a substrate through electroless plating; carrying out a treatment using an inert-gas plasma on the substrate on which the Ru film is formed; and carrying out a reduction treatment on the substrate after the treatment using the inert-gas plasma.

Classes IPC  ?

  • H01L 21/288 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un liquide, p.ex. dépôt électrolytique
  • C23C 18/42 - Revêtement avec des métaux nobles
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

36.

METHOD FOR CONTROLLING LIFTER PIN AND CONVEYANCE ARM

      
Numéro d'application JP2023033944
Numéro de publication 2024/070818
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nishimori, Yuichi
  • Kawamura, Toshiki
  • Miyamatsu, Junya

Abrégé

Provided is a method which is for controlling a lifter pin and is for performing substrate delivery between a conveyance arm on which a multistage effector is mounted and a stage inside a processing module of a substrate processing device, wherein the multistage effector: has a plurality of sensors for determining the height of the lifter pin; uses the plurality of sensors to determine the height position of the lifter pin; and adjusts the height of the lifter pin on the basis of the determination result.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

37.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application JP2023034013
Numéro de publication 2024/070834
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kumakura, Sho
  • Ono, Kenta
  • Nakane, Yuta
  • Nishizuka, Tetsuya
  • Honda, Masanobu

Abrégé

The present invention provides a technology for adjusting the exposure sensitivity of a resist film. The present invention provides a substrate processing method. This method comprises (a) a step (ST1) for providing a substrate that has a base film, and (b) a step (ST2) for forming a metal-containing resist film on the base film. The (b) step comprises (b1) a step (ST21) for forming a first resist film, which contains a metal, on the base film, and (b2) a step (ST22) for forming a second resist film, which contains a metal at a composition ratio that is different from the composition ratio of the fist resist film, on the first resist film.

Classes IPC  ?

  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

38.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application JP2023034066
Numéro de publication 2024/070843
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Moyama, Kazuki
  • Saito, Michishige

Abrégé

Provided is a substrate processing method for processing a substrate by supplying a gas from a gas supply unit into a substrate processing space in a substrate processing apparatus. The gas supply unit comprises a plurality of gas sources, a flow path for circulating the gas from the plurality of the gas sources to the substrate processing space, and a valve provided in the flow path to switch between opening and closing of the circulation of the gas. Pulse control is performed to cause the circulation of the gas to pulsate by alternately repeating the opening and closing of the circulation of the gas in the valve, wherein the duration of continuation of the pulse control and the number of times of opening the circulation of the gas in the duration of continuation are controlled to control the flow volume of the gas.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

39.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application JP2023034146
Numéro de publication 2024/070858
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Fuse, Takashi
  • Toda, Kazuya

Abrégé

Provided is a substrate processing method in which, for a substrate comprising a first surface including a metallic material and a second surface including a dielectric material, a silicon dioxide film is selectively formed on the second surface relative to the first surface. The substrate processing method comprises: a step for preparing a first surface including a metallic material and a second surface including a dielectric material containing oxygen; a step for exposing the substrate to a boron-containing substance to selectively form a boron-containing film on the second surface relative to the first surface; and a step for exposing the substrate to a silanol gas to form a silicon dioxide film on the second surface on which the boron-containing film was formed.

Classes IPC  ?

  • H01L 21/316 - Couches inorganiques composées d'oxydes, ou d'oxydes vitreux, ou de verres à base d'oxyde
  • C23C 16/42 - Siliciures

40.

SUBSTRATE PROCESSING SYSTEM AND TRANSPORT METHOD

      
Numéro d'application JP2023034678
Numéro de publication 2024/071020
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Okamura, Tatsuru
  • Kita, Masatomo
  • Song, Young Tae

Abrégé

A substrate processing system according to an embodiment of the present disclosure comprises: a plurality of processing units each having a substrate support unit that supports a substrate and a ring disposed around the substrate; a vacuum transport unit connected to the plurality of processing units; a housing unit housing the ring; and a control unit. The vacuum transport unit includes a transport robot that transports the substrate or the ring. The control unit performs control to execute a step for removing all substrates from the plurality of processing units and the vacuum transport unit, and a step for transporting the ring between at least one of the plurality of processing units and the housing unit after the removing step.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

41.

SUBSTRATE TREATMENT SYSTEM

      
Numéro d'application JP2023034825
Numéro de publication 2024/071073
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Akama, Toshiki
  • Kato, Shusei
  • Park, Gyeong Min
  • Sasaki, Nobutaka
  • Aramaki, Takashi
  • Li, Lifu

Abrégé

This substrate treatment system comprises a plasma treatment device, a decompression transfer device connected to the plasma treatment device, and a control device, wherein the plasma treatment device includes: a treatment container configured to be decompressible; a substrate support stage provided in the treatment container and including a substrate mounting surface, a ring mounting surface on which an edge ring is mounted to enclose the substrate mounting surface, and an electrostatic chuck which electrostatically adsorbs the edge ring to the ring mounting surface; a lift mechanism which lifts the edge ring with respect to the ring mounting surface; a supply path, through which a gas is supplied, between the back of the edge ring and the ring mounting surface; and a pressure sensor connected to the supply path. The decompression transfer device includes a transfer robot which transfers the edge ring, and the control device controls: a step for lowering, by means of the lift mechanism, the edge ring transferred by the transfer robot in the treatment container and delivered to the lift mechanism, and mounting the edge ring on the ring mounting surface; a step for electrostatically adsorbing the mounted edge ring to the ring mounting surface; a step for supplying the gas to the supply path so that the pressure of the supply path is maintained to be higher than that in the treatment container performed after the electrostatically adsorbing step; a step for measuring the pressure of the supply path; and a step for determining, on the basis of the measured pressure, a mounted state of the edge ring on the ring mounting surface.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

42.

SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application JP2023034968
Numéro de publication 2024/071130
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Okamura Tatsuru
  • Kita Masatomo
  • Song Young Tae

Abrégé

The disclosed substrate processing system includes a vacuum transfer chamber, a plurality of substrate processing modules, a ring stocker, a transfer robot, and a control unit. The plurality of substrate processing modules and the ring stocker are connected to the vacuum transfer chamber. When the transfer robot is using at one of at least two end effectors to transfer only a new edge ring, the control unit controls the transfer robot in response to a substrate transfer request so as to transfer a substrate through the vacuum transfer chamber by using an end effector from among the at least two end effectors that is not being used by the transfer robot.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

43.

METHODS TO PROVIDE UNIFORM WET ETCHING OF MATERIAL WITHIN HIGH ASPECT RATIO FEATURES PROVIDED ON A PATTERNED SUBSTRATE

      
Numéro d'application US2023030666
Numéro de publication 2024/072563
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-04-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Hu, Shan
  • Zhang, Henan
  • Kumari, Sangita
  • D'Elia, Peter

Abrégé

Embodiments of a wet etch process and methods are disclosed herein to provide uniform wet etching of material within high aspect ratio features. In the present disclosure, a wet etch process is used to etch material within high aspect ratio features, such as deep trenches and holes, provided on a patterned substrate. Uniform wet etching is provided in the present disclosure by ensuring that wall surfaces of the material being etched (or wall surfaces adjacent to the material being etched) exhibit a neutral surface charge when exposed to the etch solution used to etch the material.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/311 - Gravure des couches isolantes

44.

IN-SITU ADSORBATE FORMATION FOR PLASMA ETCH PROCESS

      
Numéro d'application US2023030844
Numéro de publication 2024/072569
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-04-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Zhang, Du
  • Tsai, Yu-Hao
  • Yokoi, Masahiko
  • Kihara, Yoshihide
  • Wang, Mingmei

Abrégé

2222 and the adsorbate precursor reacting under the oxygen-rich plasma to form an adsorbate; and exposing the substrate to the oxygen-rich plasma to form a recess in the organic layer, where the adsorbate forms a sidewall passivation layer in the recess.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/311 - Gravure des couches isolantes

45.

IN-SITU ADSORBATE FORMATION FOR DIELECTRIC ETCH

      
Numéro d'application US2023030910
Numéro de publication 2024/072572
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-04-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Zhang, Du
  • Tsai, Yu-Hao
  • Yokoi, Masahiko
  • Wang, Mingmei
  • Kihara, Yoshihide

Abrégé

222, and the adsorbate precursor, the adsorbate precursor being oxidized to form an adsorbate; and patterning, with the plasma, the silicon-containing dielectric layer on the substrate, where the adsorbate forms a sidewall passivation layer.

Classes IPC  ?

46.

ELECTROSTATIC CARRIER, TREATMENT SYSTEM, AND TREATMENT METHOD

      
Numéro d'application JP2023008110
Numéro de publication 2024/070009
Statut Délivré - en vigueur
Date de dépôt 2023-03-03
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Fukuoka, Tetsuo

Abrégé

This treatment method is for chips and uses an electrostatic carrier which includes a body part having an electric conductivity and having a plurality of through-holes in the thickness direction, and an insulating layer formed on a surface of the body part. The method includes: arranging a plurality of the chips on a holding surface of the electrostatic carrier; supplying electricity to the body part and electrifying the body part; and bringing the chips into contact with a ground wire and generating electrostatic force between the chips and the body part.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

47.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application JP2023029716
Numéro de publication 2024/070309
Statut Délivré - en vigueur
Date de dépôt 2023-08-17
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Yamashita, Yohei
  • Mori, Hirotoshi
  • Nakamura, Yosuke
  • Shiba, Kazuhiro
  • Iwanaga, Kazuya
  • Hisano, Kazuya

Abrégé

To properly remove the edge portion of a first substrate in consideration of a non-joint region in a notch portion formed on the first substrate in a polymeric substrate in which the first substrate and a second substrate are joined to each other. [Solution] A substrate processing method for processing a polymeric substrate in which a first substrate and a second substrate are joined to each other, the first substrate including a notch formed by cutting a part of the edge of the first substrate to be removed, a joined portion that is joined to the second substrate, and a non-joint portion that is not joined to the second substrate, the method comprising: forming an edge modifying layer, which serves as a starting point of peeling of the edge portion, by laser light radiation along the boundary between the edge portion of the first substrate and the central portion of the first substrate; and peeling the edge portion from the polymeric substrate with the edge modifying layer serving as a starting point. When the edge modifying layer is formed, the formation position of the edge modifying layer corresponding to the formation portion of the notch is determined on the basis of information about the non-joint portion.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • B23K 26/53 - Travail par transmission du faisceau laser à travers ou dans la pièce à travailler pour modifier ou reformer le matériau dans la pièce à travailler, p.ex. pour faire des fissures d'amorce de rupture
  • B28D 5/00 - Travail mécanique des pierres fines, pierres précieuses, cristaux, p.ex. des matériaux pour semi-conducteurs; Appareillages ou dispositifs à cet effet

48.

PLASMA PROCESSING DEVICE

      
Numéro d'application JP2023032647
Numéro de publication 2024/070562
Statut Délivré - en vigueur
Date de dépôt 2023-09-07
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Matsumoto, Naoki

Abrégé

The present invention provides a feature that enables the thickness distribution of an ion sheath to be adjusted. The plasma processing device according to the present disclosure comprises: a plasma processing chamber; a substrate support unit disposed inside the plasma processing chamber; an antenna disposed above the plasma processing chamber; a source RF signal generating unit that is electrically connected to the antenna, and that is configured to generate source RF signals; a bias signal generating unit that is electrically connected to the substrate support unit, and that is configured to generate bias signals; an upper electromagnet unit that is disposed above the antenna, and that has a plurality of upper annular electromagnets arranged in concentric circle form; a side wall electromagnet unit arranged to surround a side wall of the plasma treatment chamber, the side wall electromagnet unit having a plurality of side wall annular electromagnets arranged along the vertical direction; an electromagnet excitation circuit that is configured to supply current to at least one among the plurality of upper annular electromagnets and the plurality of side wall annular electromagnets; and a control unit configured to adjust the current supplied to at least one among the plurality of upper annular electromagnets and the plurality of side wall annular electromagnets to control the plasma electron density distribution within the plasma processing chamber.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

49.

PLASMA PROCESSING DEVICE AND POWER SUPPLY SYSTEM

      
Numéro d'application JP2023032799
Numéro de publication 2024/070580
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Iwano, Mitsuhiro
  • Noro, Motoki

Abrégé

Provided is a technology for improving the controllability of plasma generated on a substrate. This plasma processing device comprises: a chamber; a substrate supporting part which is disposed within the chamber and which includes a lower electrode; an upper electrode which is disposed above the substrate supporting part; a first RF power supply which is configured to supply, to the upper electrode or to the lower electrode, a first RF signal having a first RF frequency; a second RF power supply which is configured to supply, to the lower electrode, a second RF signal having a second RF frequency; and a third RF power supply which is configured to supply, to the lower electrode, a third RF signal having a third RF frequency. The three RF power supplies supply RF signals of respective power levels in four time intervals within each cycle.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

50.

FILM FORMATION METHOD AND FILM FORMATION DEVICE

      
Numéro d'application JP2023033371
Numéro de publication 2024/070696
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kawano, Yumiko
  • Azumo, Shuji

Abrégé

This film formation method includes (A) and (B) below. (A) A substrate is prepared, the substrate having, in different regions of the surface thereof, a first film and a second film formed from a different material than the first film. (B) An organic compound gas and an oxygen-containing gas that does not contain an OH group are supplied into a processing vessel housing the substrate, whereby a self-assembled monolayer is selectively formed on the surface of the second film rather than on the surface of the first film.

Classes IPC  ?

  • H01L 21/316 - Couches inorganiques composées d'oxydes, ou d'oxydes vitreux, ou de verres à base d'oxyde
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/42 - Siliciures

51.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application JP2023033670
Numéro de publication 2024/070756
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kumakura Sho
  • Ono Kenta
  • Nakane Yuta
  • Nishizuka Tetsuya
  • Honda Masanobu

Abrégé

This substrate processing method includes: a step (a) for performing wet development on a metal-containing resist of a substrate; and a step (b) for performing dry development on the metal-containing resist. The metal-containing resist includes a first region that has been exposed to light and a second region that has not been exposed to light. In the step (a), one region among the first region and the second region is partially removed in the thickness direction of said one region. In the step (b), the remainder of the one region is removed.

Classes IPC  ?

  • G03F 7/30 - Dépouillement selon l'image utilisant des moyens liquides
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

52.

SUBSTRATE EVALUATION METHOD, AND SUBSTRATE PROCESSING DEVICE

      
Numéro d'application JP2023033807
Numéro de publication 2024/070785
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Otsuki, Yuji

Abrégé

This substrate evaluation method includes a measuring step and a deriving step. In the measuring step, a substrate on which an anisotropic structure is formed is subjected to infrared spectroscopy to measure an absorbance spectrum in a wavenumber range including a peak of at least one of longitudinal optical (LO) phonons and transverse optical (TO) phonons. In the deriving step, evaluation information relating to the structure is derived from the measured absorbance spectrum.

Classes IPC  ?

  • G01N 21/00 - Recherche ou analyse des matériaux par l'utilisation de moyens optiques, c. à d. en utilisant des ondes submillimétriques, de la lumière infrarouge, visible ou ultraviolette
  • G01N 21/21 - Propriétés affectant la polarisation
  • G01N 21/3563 - Couleur; Propriétés spectrales, c. à d. comparaison de l'effet du matériau sur la lumière pour plusieurs longueurs d'ondes ou plusieurs bandes de longueurs d'ondes différentes en recherchant l'effet relatif du matériau pour les longueurs d'ondes caractéristiques d'éléments ou de molécules spécifiques, p.ex. spectrométrie d'absorption atomique en utilisant la lumière infrarouge pour l'analyse de solides; Préparation des échantillons à cet effet
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

53.

FILM FORMATION METHOD AND SUBSTRATE TREATMENT APPARATUS

      
Numéro d'application JP2023033961
Numéro de publication 2024/070825
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Murakami, Hiroki
  • Sakai, Shuichiro
  • Higuchi, Hisashi
  • Yamada, Kazuki

Abrégé

The present invention provides: a film formation method for forming a film selectively; and a substrate treatment apparatus. Provided is a film formation method for forming, in a substrate having a first surface and a second surface, a film containing at least silicon and oxygen on the second surface in a selective manner with respect to the first surface, said method comprising: a step for supplying a nitrogen-containing gas or carbon-containing gas to the substrate and turning the first surface into a nitride surface formed of a nitride or into a carbon surface formed of a carbide; a step for supplying a metal-containing catalyst to the substrate; and a step for supplying a silanol-containing silicon precursor to the substrate.

Classes IPC  ?

  • H01L 21/316 - Couches inorganiques composées d'oxydes, ou d'oxydes vitreux, ou de verres à base d'oxyde
  • C23C 16/42 - Siliciures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches

54.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application JP2023034011
Numéro de publication 2024/070833
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kumakura, Sho
  • Ono, Kenta
  • Nakane, Yuta
  • Nishizuka, Tetsuya
  • Honda, Masanobu

Abrégé

Provided is a technique for adjusting the exposure sensitivity of a resist film. A substrate processing method is provided. This method is a substrate processing method comprising: (a) a step for providing a substrate having a base film; (b) a step for forming a first film on the base film, the first film being made of a material including an element with a larger extreme ultra violet (EUV) absorption cross-sectional area than the base film; and (c) a step for forming a second film on the first film, the second film being a metal-containing resist film.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

55.

PLASMA PROCESSING DEVICE

      
Numéro d'application JP2023034100
Numéro de publication 2024/070848
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nagashima Nozomu
  • Yoshikoshi Daisuke
  • Yamagata Kunihiko
  • Teruuchi Satoru
  • Sukigara Tomotaka

Abrégé

The disclosed plasma processing device includes at least one power consumption member in a plasma processing chamber. The at least one power consumption member is electrically connected to a power reception coil. The power reception coil is electromagnetically inductively coupled with a power transmission coil. The power transmission coil receives power from a power transmission unit. A control unit determines a required power level corresponding to an input impedance as calculated from an input voltage and an input current from the power transmission unit to the power transmission coil, or corresponding to a parameter value including a load resistance value of the at least one power consumption member, and controls the power transmission unit to cause the same to output an output power with the required power level.

Classes IPC  ?

  • H02J 50/10 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique utilisant un couplage inductif
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

56.

SUBSTRATE TREATMENT SYSTEM

      
Numéro d'application JP2023034826
Numéro de publication 2024/071074
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Akama, Toshiki
  • Kato, Shusei
  • Park, Gyeong Min
  • Sasaki, Nobutaka
  • Aramaki, Takashi
  • Li, Lifu

Abrégé

This substrate treatment system comprises: a plasma treatment device; a reduced-pressure conveyance device which is connected to the plasma treatment device and has a conveyance robot for conveying a substrate and an edge ring; and a control device. The plasma treatment device has: a treatment container configured to be able to reduce the pressure therein; a substrate support table that is provided in the treatment container and includes a substrate placement surface, a ring placement surface for placing thereon the edge ring in a manner so as to surround the substrate placement surface, and an electro static chuck which is provided with an electrode for attracting the edge ring on the ring placement surface; a lift mechanism for hoisting and lowering the edge ring with respect to the ring placement surface; a gas supply unit for supplying gas into the treatment container; and a plasma generation unit for generating plasma inside the treatment container. The control device performs control so as to execute, in order: (a) a step for applying a voltage having a first polarity, to the electrode having been used for plasma treatment on the substrate; (b) a step for destaticizing the edge ring, applying a voltage having a second polarity different from the first polarity applied to the electrode in the step (a) to the electrode while supplying the gas from the gas supply unit into the treatment container, and stopping the application of the voltage to the electrode after a lapse of a prescribed time period; (c) a step for isolating the edge ring from the ring placement surface by the lift mechanism; and (d) a step for conveying the edge ring by the conveyance robot from inside the treatment container to the reduced-pressure conveyance device.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

57.

LOW-TEMPERATURE ETCH

      
Numéro d'application US2023030675
Numéro de publication 2024/072564
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-04-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Zhang, Du
  • Tomura, Maju
  • Mukaiyama, Koki
  • Niizeki, Tomohiko
  • Kihara, Yoshihide
  • Wang, Mingmei

Abrégé

22222); generating an oxygen-rich plasma while flowing the gases; maintaining a temperature of the substrate in the plasma processing chamber between -150°C and -50°C; and while maintaining the temperature, exposing the substrate to the oxygen-rich plasma to form a recess in the organic layer.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

58.

SUBSTRATE PROCESSING METHOD, AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application JP2023033435
Numéro de publication 2024/062995
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-03-28
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Ishii Akihiro
  • Gohira Taku
  • Nakaya Michiko

Abrégé

In one exemplary embodiment, a method for processing a substrate comprising a film to be etched and a mask that is provided on the film to be etched and has an opening, includes: (a) a step for forming, by using a first processing gas, a first layer containing nitrogen atoms and hydrogen atoms on the side wall of a concave portion which is formed in the film to be etched and corresponds to an opening; (b) a step for forming a second layer from the first layer by using a second processing gas including a phosphorus-containing gas; and (c) a step for etching the concave portion by using a third processing gas.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

59.

PLASMA PROCESSING DEVICE AND PLASMA PROCESSING METHOD

      
Numéro d'application JP2023029469
Numéro de publication 2024/062804
Statut Délivré - en vigueur
Date de dépôt 2023-08-14
Date de publication 2024-03-28
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Tsujimoto Hiroshi

Abrégé

Disclosed is a plasma processing device comprising a chamber, a substrate support unit, a plasma generation unit, and a bias power supply. The substrate support unit is provided inside the chamber. The plasma generation unit is configured to generate a plasma from a gas inside the chamber. The bias power supply is configured to apply a sequence of a plurality of voltage pulses as an electric bias to the substrate support unit. The bias power supply is configured to adjust the length of the ON-period of each of the plurality of voltage pulses, to thereby adjust the maximum voltage level of each of the plurality of voltage pulses.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01L 21/205 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale en utilisant la réduction ou la décomposition d'un composé gazeux donnant un condensat solide, c. à d. un dépôt chimique
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

60.

METHOD FOR CALIBRATING TEMPERATURE-MEASURING SUBSTRATE, SYSTEM FOR MEASURING SUBSTRATE TEMPERATURE, AND TEMPERATURE-MEASURING SUBSTRATE

      
Numéro d'application JP2023031710
Numéro de publication 2024/062887
Statut Délivré - en vigueur
Date de dépôt 2023-08-31
Date de publication 2024-03-28
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Ota Tomohiro

Abrégé

[Problem] To improve efficiency of temperature measurement using a temperature-measuring substrate. [Solution] This method for calibrating a temperature-measuring substrate that comprises a plurality of temperature-measuring resistors and has electrodes disposed corresponding to each of the plurality of temperature-measuring resistors comprises: a step for bringing a stylet into contact with an electrode that corresponds to at least one of the temperature-measuring resistors; a step for immersing the temperature-measuring substrate into an insulating refrigerant; a step for measuring, by the stylet, the electrical resistance value of the temperature-measuring resistor, via the electrode; a step for measuring the temperature of the insulating refrigerant; and a step for acquiring a correction value for converting the measured electrical resistance value into the measured temperature of the insulating refrigerant.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01K 15/00 - Test ou étalonnage des thermomètres

61.

METHOD FOR BONDING SUBSTRATES, AND BONDED SUBSTRATE

      
Numéro d'application JP2023032540
Numéro de publication 2024/062926
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-03-28
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Sato, Motoyuki
  • Imai, Kiyotaka

Abrégé

A method for bonding substrates which comprises performing the following steps in the following order: (a) a step in which two substrates each including a plurality of wiring parts and layered parts disposed between the plurality of wiring parts are produced, each layered part including an underlying layer and an upper layer which have been disposed along the thickness direction and differ from each other in selectivity; (b) a step in which the plurality of wiring parts and the upper layers are planarized to form a surface; (c) a step in which the upper layers are removed, leaving the underlying layers; (d) a step in which an adhesive is supplied at least to the areas where the upper layers have been removed, thereby forming an adhesive layer that has a lower modulus than the underlying layers; (e) a step in which the plurality of wiring parts and the adhesive layer are planarized to form a bonding surface; and (f) a step in which the two substrates are bonded with the adhesive layers so that the bonding surface of one of the two substrates faces the bonding surface of the other.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 25/07 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

62.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application JP2023033433
Numéro de publication 2024/062994
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-03-28
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Shindo Naoki
  • Matsunaga Junichiro

Abrégé

Implemented in a substrate processing method are: a first step for supplying a processing gas containing a halogen-containing gas and a basic gas to a substrate having a recess in which side walls are formed of a silicon film and a back wall is formed of a germanium-containing film to modify the surface of the silicon film and generate a reaction product; a second step for removing the reaction product to increase the width of the recess; a step for performing a cycle consisting of the first step and the second step a plurality of times; and a step for performing the first step of the cycle performed earlier under a first treatment condition, and performing the first step of the cycle performed later under a second treatment condition different from the first treatment condition.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage

63.

HIGH ASPECT RATIO CONTACT (HARC) ETCH

      
Numéro d'application US2023029372
Numéro de publication 2024/063871
Statut Délivré - en vigueur
Date de dépôt 2023-08-03
Date de publication 2024-03-28
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Luan, Pingshan
  • Ocana, Matthew
  • Metz, Andrew

Abrégé

22), a noble gas, and a fluorocarbon into the plasma processing chamber, the plasma processing chamber configured to hold a substrate including a dielectric layer as etch target and a patterned hardmask over the target layer; while flowing the gases, generating a fluorine-rich and nitrogen-deficient plasma in the plasma processing chamber, fluorine-rich and nitrogen-deficient plasma being made of more number of fluorine species than nitrogen species; and forming a high aspect ratio feature by exposing the substrate to the fluorine-rich and nitrogen-deficient plasma to etch a recess in the dielectric layer.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • C09K 13/00 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

64.

OPTICAL EMISSION SPECTROSCOPY FOR ADVANCED PROCESS CHARACTERIZATION

      
Numéro d'application US2023029879
Numéro de publication 2024/063876
Statut Délivré - en vigueur
Date de dépôt 2023-08-09
Date de publication 2024-03-28
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Voronin, Sergey
  • Messer, Blaze
  • Chen, Yan
  • Ng, Joel
  • Shalini, Ashawaraya
  • Zhu, Ying
  • Song, Da

Abrégé

A method of characterizing a plasma in a plasma processing system that includes: generating a pulsed plasma in a plasma processing chamber of the plasma processing system, the pulsed plasma being powered with a pulsed power signal, each pulse of the pulsed plasma including three periods: a overshoot period, a stable-ON period, and a decay period; performing cyclic optical emission spectroscopy (OES) measurements for the pulsed plasma, the cyclic OES measurements including: obtaining first OES data during one of the three periods from more than one pulses of the pulsed plasma; and obtaining a characteristic of the pulsed plasma for the one of the three periods based only on the first OES data.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H05H 1/00 - Production du plasma; Mise en œuvre du plasma

65.

METHOD AND APPARATUS FOR IN-SITU DRY DEVELOPMENT

      
Numéro d'application US2023032621
Numéro de publication 2024/063997
Statut Délivré - en vigueur
Date de dépôt 2023-09-13
Date de publication 2024-03-28
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Grzeskowiak, Steven
  • Liu, Eric Chih-Fang

Abrégé

An embodiment etching tool includes an etch chamber for plasma etching a first wafer to be processed; a transfer chamber coupled to the etch chamber; a first run path between the transfer chamber and the etch chamber, the first run path including a path for moving the first wafer to be processed from the transfer chamber to the etch chamber, where the etching tool is configured to dry develop the first wafer to be processed before etching a hard mask on the first wafer in the etch chamber.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma
  • G03F 7/30 - Dépouillement selon l'image utilisant des moyens liquides

66.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application JP2023031783
Numéro de publication 2024/057951
Statut Délivré - en vigueur
Date de dépôt 2023-08-31
Date de publication 2024-03-21
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kodama, Munehisa
  • Wakamatsu, Takayoshi

Abrégé

This substrate processing apparatus comprises: a substrate holding unit that holds a substrate; a drive mechanism that drives a tool for processing the substrate being held by the substrate holding unit; a housing in which the substrate holding unit and the tool are housed; and a sprayer that sprays a mist of liquid into the housing.

Classes IPC  ?

  • B24B 55/06 - Equipement d'enlèvement des poussières sur les machines à meuler ou à polir
  • B23Q 11/00 - Accessoires montés sur les machines-outils pour maintenir les outils ou les organes de la machine dans de bonnes conditions de travail ou pour refroidir les pièces travaillées; Dispositifs de sécurité spécialement combinés aux machines-outils, disposés dans ces machines ou spécialement conçus pour être utilisés en relation avec ces machines
  • B24B 7/04 - Machines ou dispositifs pour meuler les surfaces planes des pièces, y compris ceux pour le polissage des surfaces planes en verre; Accessoires à cet effet comportant une table porte-pièce rotative
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

67.

ELECTROSTATIC CHUCK AND SUBSTRATE PROCESSING DEVICE

      
Numéro d'application JP2023032079
Numéro de publication 2024/057973
Statut Délivré - en vigueur
Date de dépôt 2023-09-01
Date de publication 2024-03-21
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Sato, Masanori

Abrégé

The present invention provides an electrostatic chuck and a substrate processing device which suppress residual adhesion of a substrate. Provided is an electrostatic chuck comprising a dielectric body and an electrode provided inside the dielectric body. The dielectric body has a first main surface, a contact support part that protrudes from the first main surface, contacts a substrate rear surface, and supports a substrate, and a groove that is provided between the first main surface and the contact support part so as to surround the contact support part.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

68.

FILM FORMING METHOD AND FILM FORMING SYSTEM

      
Numéro d'application JP2023032189
Numéro de publication 2024/057989
Statut Délivré - en vigueur
Date de dépôt 2023-09-04
Date de publication 2024-03-21
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Ifuku, Ryota
  • Matsumoto, Takashi

Abrégé

Provided is a film forming method for forming a graphene film. The film forming method comprises: a step for preparing a substrate that has a metal film; a first step for setting the substrate at a first temperature, generating plasma by supplying carbon-containing gas, and using the generated plasma to form, on the metal film, a graphene film that has a first thickness; a second step for setting the substrate at a second temperature higher than the first temperature, reducing the first thickness of the graphene film while keeping a continuous film of the graphene film, and forming a solid solution in which carbon atoms are dissolved in the metal film; and a third step for setting the substrate at a third temperature lower than the second temperature, and forming a modified graphene film while growing the graphene film by depositing, at the boundary between the metal film and the graphene film, the carbon atoms in the metal film.

Classes IPC  ?

  • C30B 33/02 - Traitement thermique
  • C01B 32/186 - Préparation par dépôt chimique en phase vapeur [CVD]
  • C30B 29/04 - Diamant
  • C23C 16/26 - Dépôt uniquement de carbone
  • C23C 16/511 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à micro-ondes
  • C23C 16/56 - Post-traitement
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

69.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application JP2023033080
Numéro de publication 2024/058135
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-03-21
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kumakura, Sho
  • Ono, Kenta
  • Nakane, Yuta
  • Nishizuka, Tetsuya
  • Honda, Masanobu

Abrégé

Provided is a technology for reducing residue in developed patterns. Provided is a substrate processing method. This method comprises: (a) a step for providing a substrate having a base film and a metal-containing resist film formed on the base film on a substrate support part in a chamber, the metal-containing resist film having an exposed first region and an unexposed second region; and (b) a step for supplying a processing gas to the chamber to develop the substrate and selectively removing the second region from the metal-containing resist film. The (b) step includes: (b1) a step for performing development by controlling the temperature of the substrate or the substrate support part to a first temperature; and (b2) a step for performing development by controlling the temperature of the substrate or the substrate support part to a second temperature different from the first temperature.

Classes IPC  ?

  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

70.

SUBSTRATE BOMBARDMENT WITH IONS HAVING TARGETED MASS USING PULSED BIAS PHASE CONTROL

      
Numéro d'application US2023027464
Numéro de publication 2024/058852
Statut Délivré - en vigueur
Date de dépôt 2023-07-12
Date de publication 2024-03-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Voronin, Sergey
  • Wang, Qi
  • Hajibabaeinajafabadi, Hamed

Abrégé

A method of plasma processing includes generating plasma by coupling a source power pulse to a plasma processing chamber containing a substrate holder configured to support a substrate. The plasma includes first ions having a first mass and second ions having a second mass greater than the first mass. The ion density ratio of the second ions to the first ions is a first ratio. The method further includes delivering an energetic ion flux of second ions to the substrate by applying a delayed bias power pulse to the substrate holder after a delay between the source power pulse and the delayed bias power pulse. The delay is chosen based on the diffusion time constants of the first ions and the second ions so that the ion density ratio of the second ions to the first ions is a second ratio that is greater than the first ratio.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

71.

WET ETCH PROCESS AND METHODS TO FORM AIR GAPS BETWEEN METAL INTERCONNECTS

      
Numéro d'application US2023029095
Numéro de publication 2024/058875
Statut Délivré - en vigueur
Date de dépôt 2023-07-31
Date de publication 2024-03-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Hu, Shan
  • Liu, Eric Chih-Fang
  • Zhang, Henan
  • Kumari, Sangita
  • D'Elia, Peter

Abrégé

Embodiments of improved process flows and methods are provided in the present disclosure to form air gaps between metal interconnects. More specifically, the present disclosure provides improved process flows and methods that utilize a wet etch process to form recesses between metal interconnects formed on a patterned substrate. Unlike conventional air gap integration methods, the improved process flows and methods described herein utilize the critical dimension (CD) dependent etching provided by wet etch processes to etch an intermetal dielectric material formed between the metal interconnects at a faster rate than the intermetal dielectric material is etched in surrounding areas of the patterned substrate. This enables the improved process flows and methods described herein to form recesses (and subsequently form air gaps) between the metal interconnects without using a dry etch process.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/764 - Espaces d'air
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

72.

SURFACE MODIFICATION TO ACHIEVE SELECTIVE ISOTROPIC ETCH

      
Numéro d'application US2023029506
Numéro de publication 2024/058881
Statut Délivré - en vigueur
Date de dépôt 2023-08-04
Date de publication 2024-03-21
Propriétaire
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
  • TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Hollin, Jonathan
  • Flaugh, Matthew
  • Kal, Subhadeep
  • Mosden, Aelan

Abrégé

A surface of a substrate is modified, where the substrate includes at least two different layers or films of different materials. The modified layer is then selectively converted to a protection layer on one of the layers, while the other layer is etched.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/311 - Gravure des couches isolantes

73.

WET ETCH PROCESS AND METHOD TO PROVIDE UNIFORM ETCHING OF MATERIAL FORMED WITHIN FEATURES HAVING DIFFERENT CRITICAL DIMENSION (CD)

      
Numéro d'application US2023028924
Numéro de publication 2024/058863
Statut Délivré - en vigueur
Date de dépôt 2023-07-28
Date de publication 2024-03-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Hu, Shan
  • Zhang, Henan
  • Kumari, Sangita
  • D'Elia, Peter

Abrégé

e.getcetc.) having different critical dimension (CD). By combining a non-aqueous organic-based etch solution and an aqueous-based etch solution (either in series or in parallel) within a wet etch process, the disclosed embodiments utilize the opposing effects of CD-dependent etching to provide uniform etching of the material, regardless of CD.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • C09K 13/00 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage

74.

PATTERNING A SEMICONDUCTOR WORKPIECE

      
Numéro d'application US2023031530
Numéro de publication 2024/058943
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-03-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Grzeskowiak, Jodi
  • Murphy, Michael

Abrégé

In certain embodiments, a method includes depositing a photoresist layer over a semiconductor wafer to be patterned by photolithography, the photoresist layer having a first height, and exposing the photoresist layer to a pattern of actinic radiation to form exposed regions and non-exposed regions of the photoresist layer. The method further includes depositing an agent-containing layer over the photoresist layer and executing a post-exposure bake of the semiconductor wafer. The post-exposure bake modifies portions of the photoresist layer to form soluble portions of the photoresist layer for development. The soluble portions of the photoresist layer include the exposed regions and top portions of the non-exposed regions. The method further includes developing the photoresist layer to remove selectively the soluble portions, remaining portions of the non-exposed regions forming patterned structures of the semiconductor wafer and having a second height that is less than the first height.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage
  • G03F 7/20 - Exposition; Appareillages à cet effet

75.

SUBSTRATE TREATMENT SYSTEM

      
Numéro d'application JP2023030095
Numéro de publication 2024/053386
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-03-14
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nagaike, Hiroshi
  • Aida, Kou

Abrégé

Provided is a substrate treatment system comprising: a treatment device that subjects a substrate to a treatment; a vacuum conveyance device that is connected to the treatment device via an irradiation section and has a conveyance mechanism for conveying the substrate to and from the treatment device; and the irradiation section, which is provided between the treatment device and the vacuum conveyance device and has a UV light source for radiating ultraviolet light having a wavelength of 200 nm or less. When the substrate treated by the treatment device is conveyed through the irradiation section and to the vacuum conveyance device by the conveyance mechanism, the UV light source irradiates the substrate with ultraviolet light to clean the substrate.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

76.

PLASMA PROCESSING DEVICE

      
Numéro d'application JP2023030879
Numéro de publication 2024/053442
Statut Délivré - en vigueur
Date de dépôt 2023-08-28
Date de publication 2024-03-14
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Hirayama, Masaki
  • Kato, Takaaki

Abrégé

Provided is a plasma processing device comprising: a processing vessel that has a stage on which a substrate is placed; a first electrode to which a high-frequency power for plasma generation is supplied; a second electrode that faces the first electrode and is configured so as to form a plasma generation space between the first electrode and the second electrode; and an emission part that is formed from a dielectric and is configured so as to emit the high-frequency power into the plasma generation space from a waveguide formed along the periphery of the first electrode, wherein the second electrode is configured so as to form a processing space between the stage and the second electrode, and has a structure causing a first processing gas to flow in the direction which is the reverse of the direction from the plasma generation space toward the processing space, to supply the first processing gas to the plasma generation space.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  • C23C 16/40 - Oxydes
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches

77.

COMPONENT TO BE USED FOR PLASMA PROCESSING DEVICE, METHOD FOR MANUFACTURING COMPONENT TO BE USED FOR PLASMA PROCESSING DEVICE, AND PLASMA PROCESSING DEVICE

      
Numéro d'application JP2023030266
Numéro de publication 2024/053395
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-03-14
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Seguchi, Taisei
  • Ito, Koei

Abrégé

Provided is a technique for reducing electrical resistance and suppressing generation of particles in a component to be used for a plasma processing device. The component to be used for the plasma processing device comprises: a conductive substrate; a plurality of conductive projections projecting from the surface of the conductive substrate and electrically connected to each other via the conductive substrate; and a Si-containing coating layer formed on the surface of the conductive substrate and the surfaces of the conductive projections so as to partially expose each of the conductive projections.

Classes IPC  ?

  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures

78.

ABNORMALITY MANAGEMENT METHOD, MANAGEMENT DEVICE, AND STORAGE MEDIUM

      
Numéro d'application JP2023030597
Numéro de publication 2024/053421
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-14
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Iwanaga Shuji

Abrégé

This abnormality management method for a conveying arm includes: an acquisition step for acquiring target data, which are transition data of an abnormality scale calculated on the basis of a feature quantity relating to a conveying operation of the conveying arm; an identification step for comparing the target data acquired in the acquisition step and reference data, which are a plurality of items of transition data leading to an occurrence of an abnormality, acquired in the past and held in advance, and identifying at least one set of reference data similar to the target data on the basis of a result of the comparison; an estimating step for estimating an abnormality occurrence predicted time in the conveying arm for which the target data have been acquired, on the basis of an abnormality occurrence time in the at least one set of reference data identified in the identification step; and an output step for outputting information indicating the abnormality occurrence predicted time estimated in the estimating step.

Classes IPC  ?

  • G05B 23/02 - Test ou contrôle électrique
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

79.

ELECTRODE PLATE, ELECTRODE ASSEMBLY, AND PLASMA PROCESSING DEVICE

      
Numéro d'application JP2023031893
Numéro de publication 2024/053563
Statut Délivré - en vigueur
Date de dépôt 2023-08-31
Date de publication 2024-03-14
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Ariyoshi, Fumiaki
  • Hanaoka, Hidetoshi

Abrégé

Provided are an electrode plate, an electrode assembly, and a plasma processing device that mitigates changes over time. The electrode plate, which is for a parallel plate-type plasma processing device, comprises: a body portion having a first surface, a second surface on the opposite side to the first surface, and a plurality of inner surfaces. The plurality of inner surfaces define a plurality of gas circulation holes penetrating through the body portion from the first surface to the second surface. The first surface is composed of a material having a first resistance value. The second surface is composed of a material having a second resistance value different from the first resistance value.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

80.

METHOD OF FORMING A MOISTURE BARRIER ON PHOTOSENSITIVE ORGANOMETALLIC OXIDES

      
Numéro d'application US2023028492
Numéro de publication 2024/054311
Statut Délivré - en vigueur
Date de dépôt 2023-07-24
Date de publication 2024-03-14
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Matsuki, Nobuo
  • Tapily, Kandabara

Abrégé

Various embodiments of methods are provided for forming a moisture barrier layer on an EUV-active photoresist film before patterning the EUV-active photoresist film with EUV lithography. According to one embodiment, the methods disclosed herein may form an EUV-active photoresist film on a surface of a semiconductor substrate and a moisture barrier layer containing a hydrocarbon polymer on the EUV-active photoresist film before the EUV-active photoresist film is patterned with EUV lithography to form a patterned photoresist on the substrate surface. In some embodiments, a first hydrocarbon polymer layer may be formed on the substrate surface before an EUV-active photoresist film is formed on the first hydrocarbon polymer layer. By providing a hydrocarbon polymer layer above and/or below the EUV-active photoresist film, the disclosed methods improve the performance of the EUV-active photoresist film by protecting upper/lower surfaces of the EUV-active photoresist film from undergoing unwanted chemical reactions.

Classes IPC  ?

  • G03F 7/09 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • G03F 7/004 - Matériaux photosensibles

81.

DOUBLE PATTERNING METHOD OF PATTERNING A SUBSTRATE

      
Numéro d'application US2023031073
Numéro de publication 2024/054362
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-14
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Liu, Eric Chih-Fang
  • Lutker-Lee, Katie
  • Grzeskowiak, Steven
  • Grzeskowiak, Jodi
  • Smith, Jeffrey
  • O'Meara, David

Abrégé

A method of semiconductor device microfabrication. The method includes forming, over a hardmask layer and an underlying layer, a first trench pattern between adjacent template lines that include mandrels with sidewall spacers. The first trenches exposing a portion of the hardmask layer. A first blocks pattern is formed over the first trench pattern and template lines. The first blocks divide the first trenches to form a pattern of first stencil trenches, which is transferred to the hardmask layer to form first hardmask trenches pattern. First hardmask trenches are exposed to a portion of the underlying layer. A first fill layer fills the first hardmask trenches and exposes the mandrels. Mandrels are selectively removed to form second trenches, which expose a portion of the hardmask layer. A conformal liner is formed over features to form third trenches.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

82.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING DEVICE

      
Numéro d'application JP2023029512
Numéro de publication 2024/048269
Statut Délivré - en vigueur
Date de dépôt 2023-08-15
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Kagawa, Koji

Abrégé

This substrate processing method includes: preparing a substrate having a surface from which a titanium nitride film and a zirconium oxide film are exposed; and selectively etching the zirconium oxide film from among the titanium nitride film and the zirconium oxide film by supplying an etching solution containing hydrogen fluoride and an organic solvent, to the surface of the substrate.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

83.

PLASMA TREATMENT DEVICE AND TEMPERATURE MEASUREMENT METHOD

      
Numéro d'application JP2023029549
Numéro de publication 2024/048273
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Yamada, Kazuhito
  • Tamonoki, Shinya

Abrégé

In the present invention, a base is arranged inside a plasma treatment chamber. An electrostatic chuck is arranged on the upper part of the base. A first heater electrode layer is arranged inside the electrostatic chuck. A second heater electrode layer is arranged inside the electrostatic chuck at a position different from that of the first heater electrode layer in a plan view. A first temperature sensor measures the temperature of the first heater electrode layer. A second temperature sensor measures the temperature of the second heater electrode layer. A signal line is electrically connected to the first temperature sensor and the second temperature sensor. A GND line is electrically connected to the first temperature sensor and the second temperature sensor. A signal detection unit is electrically connected to the signal line and the GND line.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • G05D 23/00 - Commande de la température
  • H01L 21/205 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale en utilisant la réduction ou la décomposition d'un composé gazeux donnant un condensat solide, c. à d. un dépôt chimique
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

84.

PLASMA TREATMENT METHOD AND PLASMA TREATMENT DEVICE

      
Numéro d'application JP2023030581
Numéro de publication 2024/048419
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Nomura, Masamichi
  • Saito, Yusuke
  • Hiraide, Keisuke

Abrégé

A plasma treatment method for using a plasma treatment device is used, the method comprising: a step for acquiring parameters including a first initial electric-power value, an initial electric-power application time, and an output inhibition ratio; a step for acquiring a treatment recipe including a recipe-set electric-power value as a second initial electric-power value; a step for determining an initial input electric power for a plasma-exciting antenna from the first initial electric-power value or the second initial electric-power value; a step for, when the first initial electric-power value is determined as the initial input electric power, supplying the determined initial input electric power to the plasma-exciting antenna for at least a length of time equal to or longer than the initial electric-power application time; and a step for increasing the output of high-frequency electric power to be supplied to the plasma-exciting antenna from the initial input electric power to the recipe-set electric-power value in a stepwise manner.

Classes IPC  ?

  • H01L 21/205 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale en utilisant la réduction ou la décomposition d'un composé gazeux donnant un condensat solide, c. à d. un dépôt chimique
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

85.

TEMPERATURE CONTROL DEVICE, SUBSTRATE PROCESSING DEVICE, AND TEMPERATURE CONTROL METHOD

      
Numéro d'application JP2023030779
Numéro de publication 2024/048461
Statut Délivré - en vigueur
Date de dépôt 2023-08-25
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Isago, Masaru

Abrégé

Provided are a temperature control device, a substrate processing device, and a temperature control method with which temperature control accuracy and responsiveness are improved. This temperature control device controls a temperature of a temperature regulating unit by causing a fluid to circulate through the temperature regulating unit, the temperature control device comprising: a first temperature adjusting unit for adjusting the fluid to a first temperature; a second temperature adjusting unit for adjusting the fluid that has been adjusted to the first temperature to a second temperature; a first temperature adjustment flow passage provided between the first temperature adjusting unit and the second temperature adjusting unit; a second temperature adjustment flow passage provided between the second temperature adjusting unit and the temperature regulating unit; and a return flow passage provided between the temperature regulating unit and the first temperature adjusting unit.

Classes IPC  ?

86.

PLASMA TREATMENT METHOD AND PLASMA TREATMENT DEVICE

      
Numéro d'application JP2023031083
Numéro de publication 2024/048543
Statut Délivré - en vigueur
Date de dépôt 2023-08-29
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Yonezawa, Takahiro

Abrégé

Provided is a technology for properly forming recesses in etched films. A plasma treatment method as in the present disclosure is executed by a plasma treatment device comprising a chamber and a substrate support section provided in the chamber. The plasma treatment method includes: (a) a preparation step for preparing, on the substrate support section, a substrate that has a silicon-containing film which is an inorganic film containing silicon and a mask film on the silicon-containing film, the mask film including an opening pattern with an opening width of 30 nm or less; and (b) a step for generating plasma in the chamber and etching the silicon-containing film via the mask film to form recesses in the silicon-containing film. The (b) step includes: (b-1) a step for supplying, into the chamber, a treatment gas containing hydrogen fluoride; (b-2) a step for generating plasma from the treatment gas in the chamber; and (b-3) a step for supplying a bias signal to the substrate support section. The bias signal is a bias RF signal having an effective value of power less than 2 kW, or a bias DC signal including a sequence of negative polarity DC pulses having an effective value of voltage less than 2 kV.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

87.

SUBSTRATE TREATMENT DEVICE AND SUBSTRATE TREATMENT METHOD

      
Numéro d'application JP2023029779
Numéro de publication 2024/048316
Statut Délivré - en vigueur
Date de dépôt 2023-08-18
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kawabuchi, Yosuke
  • Miyamoto, Naoya

Abrégé

A substrate treatment device according to an embodiment of the present disclosure has: a treatment container; a substrate holding unit that is disposed inside of the treatment container and that holds a substrate; a gas nozzle that sprays a gas into the treatment container; an adjustment mechanism that adjusts the distance between the substrate held by the substrate holding unit and the gas nozzle; and a control unit, wherein the control unit is configured so as to set a target value for the distance on the basis of the flow state of the gas to be sprayed from the gas nozzle and to control the adjustment mechanism such that the distance becomes the target value.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

88.

TEMPERATURE ADJUSTMENT SYSTEM AND PLASMA PROCESSING SYSTEM

      
Numéro d'application JP2023030155
Numéro de publication 2024/048366
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-03-07
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Sakai Hayato
  • Chiba Kazuhiro

Abrégé

Disclosed is a temperature adjustment system which comprises a first segment, a second segment, and a connection unit. The first segment includes: a first temperature adjustment circuit including a first heat exchanger configured to perform heat exchange with a first temperature adjustment medium; and a first case for accommodating therein the first temperature adjustment circuit. The second segment includes: a first tank and a first pump in a first circulation system configured to circulate the first temperature adjustment medium through a first flow path in a first member of a plasma processing device; and a second case for accommodating therein the first tank and the first pump. The connection unit constitutes a part of the first circulation system, is disposed between the first segment and the second segment, and is connected between the first pump and the first heat exchanger.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

89.

METHODS FOR FORMING SEMICONDUCTOR DEVICES USING METAL HARDMASKS

      
Numéro d'application US2023029189
Numéro de publication 2024/049609
Statut Délivré - en vigueur
Date de dépôt 2023-08-01
Date de publication 2024-03-07
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Dorfner, Alec
  • Park, Minjoon

Abrégé

A method for forming a semiconductor device is disclosed. The method includes forming a first metal layer on top of an amorphous mask layer disposed over a substrate. The method includes forming a second metal layer that extends along vertical sidewalls of an opening in the amorphous mask layer. The method includes forming a first recess partially extending into the substrate using the first metal layer and the second metal layer as a first etch mask. The method includes forming a third metal layer that extends along vertical sidewalls of the first recess. The method includes forming a second recess below the first recess using the first to third metal layers as a second etch mask.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

90.

METHODS FOR FORMING SEMICONDUCTOR DEVICES WITH ISOLATION STRUCTURES

      
Numéro d'application US2023030063
Numéro de publication 2024/049625
Statut Délivré - en vigueur
Date de dépôt 2023-08-11
Date de publication 2024-03-07
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON U.S. HOLDINGS, INC. (USA)
Inventeur(s)
  • Kim, Hojin
  • Wang, Mingmei
  • Chae, Soo Doo

Abrégé

A method for forming a semiconductor device is disclosed. The method includes forming a first layer on a substrate. The method includes forming a second layer on the first layer. The substrate and the second layer have a first semiconductor material and the first layer has a second semiconductor material, and an etching selectivity is present between the first semiconductor material and the second semiconductor material. The method includes performing a first etching process to remove a portion of the second layer until the first layer is exposed, wherein the first layer is configured as an etch stop layer for the first etching process.

Classes IPC  ?

  • H01L 21/8234 - Technologie MIS
  • H01L 21/762 - Régions diélectriques
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

91.

ETCHING METHOD, PRECOATING METHOD AND ETCHING APPARATUS

      
Numéro d'application JP2023028912
Numéro de publication 2024/043077
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Takayama, Takamitsu
  • Onodera, Yuki

Abrégé

An etching method which comprises (a) a step for forming a carbon-containing film on the surface of an electrostatic chuck within a chamber, (b) a step for placing a substrate on the carbon-containing film, and (c) a step for plasma etching the substrate, wherein the step (a) comprises (a1) a step for supplying a precoating gas into the chamber and controlling the pressure within the chamber to 100 m Torr to 1,000 m Torr, and (a2) a step for generating a plasma of the precoating gas.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

92.

ETCHING METHOD AND PLASMA PROCESSING SYSTEM

      
Numéro d'application JP2023028958
Numéro de publication 2024/043082
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Sawano, Takuya
  • Yamaguchi, Masahito
  • Tomura, Maju
  • Kihara, Yoshihide

Abrégé

3646483244266 gas; and (c) a step in which the silicon-containing film is etched in the first recess and the second recess with use of a plasma that is generated from a second processing gas within the chamber. In the step (b), the temperature of the substrate supporting part is set to 0°C or less, while the pressure within the chamber is higher than the pressure within the chamber during the step (c).

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

93.

PLASMA TREATMENT METHOD AND PLASMA TREATMENT SYSTEM

      
Numéro d'application JP2023029596
Numéro de publication 2024/043151
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Tanabe, Akira
  • Narishige, Kazuki
  • Hirai, Katsunori
  • Tamakawa, Yusuke

Abrégé

A plasma treatment method comprising: (A) a step for performing a plasma treatment on a substrate introduced into a treatment chamber; (B) a step for calculating the thickness of a reaction product deposited on the substrate in (A); (C) a step for setting the time of dry cleaning for removing the reaction product deposited inside the treatment chamber in (A), on the basis of the thickness of the reaction product calculated in (B); and (D) a step for performing the dry cleaning for the time set in (C).

Classes IPC  ?

  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

94.

DEVICE FOR TREATMENT WITH PLASMA AND SUBSTRATE-TREATING SYSTEM

      
Numéro d'application JP2023029709
Numéro de publication 2024/043166
Statut Délivré - en vigueur
Date de dépôt 2023-08-17
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Suda, Ryutaro
  • Tanaka, Koki
  • Hori, Kenta
  • Murakami, Tomo
  • Funada, Shuji
  • Harashima, Takuya
  • Nagai, Ryu
  • Kako, Takashi
  • Niiyama, Koji

Abrégé

The present invention provides a technique which inhibits etching rate from decreasing. Provided is a device for treatment with a plasma including: a chamber; a substrate-supporting part disposed inside the chamber; a gas feed port which is connected to a source of a treatment gas including hydrogen fluoride gas and through which the treatment gas is fed to the chamber; and a plasma generation part configured so as to generate a plasma from the treatment gas. At least some of the interior of the chamber is made of a material including at least one member selected from the group consisting of carbon, tungsten, molybdenum, ruthenium, titanium nitride, samarium, and yttrium.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • C23C 4/08 - Matériaux métalliques ne contenant que des éléments métalliques
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

95.

PLASMA TREATMENT METHOD AND PLASMA TREATMENT SYSTEM

      
Numéro d'application JP2023029817
Numéro de publication 2024/043185
Statut Délivré - en vigueur
Date de dépôt 2023-08-18
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Iwano, Mitsuhiro

Abrégé

A plasma treatment method according to the present invention comprises: a step for etching an etching film by repeatedly performing, multiple times, a cycle including at least a (b-b) step for supplying a bias signal to a substrate support part; and a step for etching an etching film by performing, at least once, a cycle including at least a (c-b) step for supplying a bias signal to the substrate support part, wherein the rms value of electrical power or the absolute value of a DC voltage possessed by the bias signal supplied to the substrate support part in the (b-b) step in the (N+1)-th (N is an integer equal to or larger than 1) cycle is larger than the rms value of electrical power or the absolute value of a DC voltage of the bias signal supplied to the substrate support part in the (b-b) step in the N-th cycle, and the rms value possessed by electrical power or the absolute value of a DC voltage of the bias signal supplied to the substrate support part in the (c-b) step is equal to or larger than the rms value possessed by electrical power or the absolute value of a DC voltage of the bias signal in the (b-b) step.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

96.

PLASMA TREATMENT DEVICE, RF SYSTEM, AND RF CONTROL METHOD

      
Numéro d'application JP2023028839
Numéro de publication 2024/043065
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Higuchi, Ryuta

Abrégé

A control unit of this plasma treatment device is configured to execute: (a1) a step for acquiring a first parameter at an input end and/or an output end of a first matching device; (a2) a step for acquiring a second parameter at an input end and/or an output end of a second matching device; and (b) a step for repeatedly performing first control and second control in sequence. In the first control, adjustment of the electric power level of a first RF signal in a first RF generation unit, adjustment of the frequency of the first RF signal, and adjustment of a first variable element in the first matching device are performed in sequence on the basis of the first parameter acquired in step (a1). In the second control, adjustment of the electric power level of a second RF signal in a second RF generation unit, adjustment of the frequency of the second RF signal, and adjustment of a second variable element in the second matching device are performed in sequence on the basis of the second parameter acquired in step (a2).

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

97.

PLASMA TREATMENT DEVICE

      
Numéro d'application JP2023028861
Numéro de publication 2024/043070
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Yamazawa, Yohei

Abrégé

This plasma treatment device comprises: a chamber; a substrate support part including an RF electrode; a first RF power supply that is joined to the chamber and that generates a first pulsed RF signal; a second RF power supply that is joined to the RF electrode and that generates a second pulsed RF signal; a Vpp detector that detects a bias Vpp value between the second RF power supply and the RF electrode; and a control unit. The control unit sets power levels of the first and second pulsed RF signals and determines a plurality of phases within one pulse cycle. States of the first and second pulsed RF signals differ between adjacent phases. The control unit sets a target value of the bias Vpp of a selected phase, acquires a representative value of the bias Vpp detected in each phase, and adjusts, on the basis of the set power levels and the acquired representative value, the power levels of the first and second pulsed RF signals in the selected phase so that the bias Vpp value is the target value.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

98.

DEVICE FOR PERFORMING PLASMA TREATMENT, AND METHOD FOR PERFORMING PLASMA TREATMENT

      
Numéro d'application JP2023029139
Numéro de publication 2024/043104
Statut Délivré - en vigueur
Date de dépôt 2023-08-09
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Hao Jianming

Abrégé

Provided is a technology for performing a plasma treatment by supplying a plasma-converted treatment gas to a substrate while preventing the deactivation of a radical in the treatment gas. A device for performing a plasma treatment by supplying a plasma-converted treatment gas to a substrate in a treatment vessel is provided with a shower plate which is arranged between a plasma formation space constituting a plasma formation mechanism for plasma-converting the treatment gas and a substrate treatment space having a mounting table provided therein on the upper side of the mounting table and which has, formed therein, a plurality of treatment gas supply holes through which the plasma-converted treatment gas flows toward the treatment space, in which the mounting table is arranged in the treatment vessel and has the substrate mounted thereon. The device is also provided with a cover gas supply mechanism for supplying a cover gas that flows so as to cover the side wall surfaces of the plurality of treatment gas supply holes in the shower plate.

Classes IPC  ?

  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches

99.

PLASMA PROCESSING DEVICE

      
Numéro d'application JP2023029465
Numéro de publication 2024/043138
Statut Délivré - en vigueur
Date de dépôt 2023-08-14
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Hirayama Masaki

Abrégé

A plasma processing device according to the present disclosure comprises a chamber, an introduction portion, a choke, a first conductor portion, and a second conductor portion. The introduction portion is configured to introduce electromagnetic waves for plasma generation from said portion into the chamber. The choke is configured to suppress the downward transmission of the electromagnetic waves along an inner wall surface of the chamber. The choke comprises: a dielectric member; an upper conductor portion that includes a part of the inner wall surface and extends over the dielectric member; and a lower conductor portion that extends below the dielectric member. The first conductor portion electrically connects to the upper conductor portion. The second conductor portion electrically connects to the lower conductor portion and extends below the first conductor portion. A gap is provided between the first conductor portion and the second conductor portion at a location along the inner end of the dielectric member, and has a vertical length that is less than the thickness of the dielectric member.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

100.

ETCHING METHOD AND PLASMA PROCESSING APPARATUS

      
Numéro d'application JP2023029468
Numéro de publication 2024/043139
Statut Délivré - en vigueur
Date de dépôt 2023-08-14
Date de publication 2024-02-29
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Katsunuma Takayuki
  • Ohori Takahiro
  • Kimura Soichiro
  • Kumakura Sho

Abrégé

An etching method according to one exemplary embodiment of the present invention comprises: (a) a step for providing a substrate which is provided with a first film that has a recessed part and a second film that is arranged on the first film, wherein the first film contains a metal element and a non-metal element, and the second film has an opening that corresponds to the recessed part; (b) a step for forming a protective film on the lateral wall of the recessed part by means of a first plasma that is generated from a first processing gas; and (c) a step for etching the recessed part through the opening after the step (b) by means of a second plasma that is generated from a second processing gas which is different from the first processing gas. The second processing gas contains a halogen-containing gas.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  1     2     3     ...     42        Prochaine page