Tokyo Electron Limited

Japon

Retour au propriétaire

1-100 de 7 255 pour Tokyo Electron Limited Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Date
Nouveautés (dernières 4 semaines) 69
2024 avril (MACJ) 60
2024 mars 68
2024 février 63
2024 janvier 60
Voir plus
Classe IPC
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 2 113
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 1 862
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 1 151
H01L 21/311 - Gravure des couches isolantes 890
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 709
Voir plus
Statut
En Instance 1 719
Enregistré / En vigueur 5 536
Résultats pour  brevets
  1     2     3     ...     73        Prochaine page

1.

SUBSTRATE PROCESSING APPARATUS AND FLUID HEATING DEVICE

      
Numéro d'application 18489229
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hayashida, Takahiro
  • Moriyama, Shigeru
  • Umezaki, Shota

Abrégé

A substrate processing apparatus that dries a liquid adhering to a substrate by using a processing fluid in a supercritical state, includes: a processing container in which the substrate is accommodated; a plurality of pipes configured to allow the processing fluid to flow to and from the processing container therethrough; a first fluid heating device configured to heat a first pipe that supplies the processing fluid to an interior of the processing container among the plurality of pipes; and a second fluid heating device configured to heat a second pipe that discharges the processing fluid from the interior of the processing container among the plurality of pipes.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

2.

FREQUENCY-VARIABLE POWER SUPPLY AND PLASMA PROCESSING APPARATUS

      
Numéro d'application 18382809
Statut En instance
Date de dépôt 2023-10-22
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Kaneko, Kazushi

Abrégé

A frequency-variable power supply that outputs radio-frequency (RF) waves of a set frequency and includes an operation part configured to calculate a correction value according to each of a plurality of frequencies within an outputtable frequency range.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

3.

PLASMA PROCESSING SYSTEM AND EDGE RING REPLACEMENT METHOD

      
Numéro d'application 18398162
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Matsuura, Shin
  • Kato, Kenichi

Abrégé

A plasma processing system includes a control device. The control device executes raising a lifter to deliver a cover ring supporting an edge ring to the lifter; moving a jig supported by a holder to a space between the cover ring and a substrate support surface/an annular support surface; raising a different lifter to deliver the jig to the different lifter; extracting the holder, and then moving the lifter and the different lifter relatively to deliver the edge ring to the jig; lowering only the lifter to deliver the cover ring to the annular member support surface; moving the holder to a space between the cover ring and the jig, and then lowering the different lifter to deliver the jig to the holder; and extracting the holder from the processing chamber to transfer the jig supporting the edge ring from the processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

4.

Method for OES Data Collection and Endpoint Detection

      
Numéro d'application 17972958
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Voronin, Sergey
  • Messer, Blaze
  • Chen, Yan
  • Ng, Joel
  • Shalini, Ashawaraya
  • Zhu, Ying
  • Song, Da

Abrégé

A method of processing a substrate that includes: exposing the substrate in a plasma processing chamber to a plasma powered by applying a first power to a first electrode of the plasma processing chamber for a first time duration; and after the first time duration, determining a process endpoint by: while exposing the substrate to the plasma by applying the first power to the first electrode, applying a second power to a second electrode of the plasma processing chamber for a second time duration that is shorter than the first time duration; and obtaining an optical emission spectrum (OES) from the plasma while applying the second power to the second electrode, where an energy of the second power over the second time duration is less than an energy of the first power over a sum of the first and the second time durations by a factor of at least 2.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

5.

SUBSTRATE-PROCESSING METHOD AND SUBSTRATE-PROCESSING APPARATUS

      
Numéro d'application 18482401
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Kanemura, Rui

Abrégé

A substrate-processing method includes a) providing a substrate including a silicon oxide film on a surface of the substrate, b) supplying a gas mixture to the surface of the substrate, thereby etching the silicon oxide film, the gas mixture including fluorine-containing gas and basic gas, c) purging the surface of the substrate, and d) alternatingly repeating b) and c).

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

6.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18489188
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Fukushima, Kenji

Abrégé

A substrate processing apparatus includes: a processing container; a substrate holder that horizontally holds the substrate inside the processing container; a liquid supplier that supplying a processing liquid to a lower surface of the substrate; a cover that discharges a gas toward an upper surface of the substrate; a gas supplier that supplies the gas to the cover; a heater that heats the gas; and a controller. The controller performs a control to: maintain a temperature of the heater at a second set temperature while the processing liquid is supplied to the lower surface; maintain the temperature of the heater at the first set temperature during a standby operation; and increase an output of the heater during the standby operation and raise the temperature of the heater from the first set temperature to the second set temperature until a subsequent substrate is loaded in the standby operation.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C03C 15/00 - Traitement de surface du verre, autre que sous forme de fibres ou de filaments, par attaque chimique

7.

CUP, LIQUID PROCESSING APPARATUS, AND LIQUID PROCESSING METHOD

      
Numéro d'application 18490119
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Higashi, Ryunosuke
  • Yada, Kenji
  • Imura, Yoshihiro
  • Kawakami, Kohei
  • Maeda, Yuhei

Abrégé

A cup includes a flow passage forming member forming a first exhaust passage, a scattered substance collection passage configured to collect a scattered substance from a substrate, and a second exhaust passage in sequence as going upwards; a joint exhaust passage connected to each of the first exhaust passage, the scattered substance collection passage, and the second exhaust passage; a first annular member included in the flow passage forming member, the scattered substance collection passage and the first exhaust passage being formed above and below the first annular member, respectively; and a communication hole provided in the flow passage forming member to allow the scattered substance collection passage and the joint exhaust passage to communicate with each other such that a pressure loss of the communication hole is large as compared to a pressure loss in a gap formed between the first annular member and the substrate.

Classes IPC  ?

  • B05C 11/11 - Cuves ou autres récipients à liquides ou autres matériaux fluides
  • B05C 11/10 - Stockage, débit ou réglage du liquide ou d'un autre matériau fluide; Récupération de l'excès de liquide ou d'un autre matériau fluide

8.

PROCESSING LIQUID SUPPLY SYSTEM AND OPERATION METHOD THEREOF

      
Numéro d'application 18380986
Statut En instance
Date de dépôt 2023-10-16
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Kasahara, Masatoshi
  • Uchino, Keiichiro
  • Mori, Sadamichi
  • Iwanaga, Naohiro

Abrégé

A processing liquid supply system includes a tank that stores a processing liquid supplied from a processing liquid supply, a circulation passage that is connected to the tank, a plurality of supply passages that is connected to the circulation passage and supplies the processing liquid to each of a plurality of liquid processing units that perform a liquid processing on a substrate, a first pump filter set that is a combination of a first pump and a plurality of first filters provided downstream of the first pump, and a second pump filter set that is a combination of a second pump and a plurality of second filters provided downstream of the second pump. The first pump filter set and the second pump filter set are arranged in series in the circulation passage such that the first pump filter set is located upstream of the second pump filter set.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05D 16/00 - Commande de la pression d'un fluide

9.

Polymer Removal via Multiple Flash Steps during Plasma Etch

      
Numéro d'application 17970899
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Dorfner, Alec
  • Park, Minjoon
  • Oh, Minseok

Abrégé

A method of etching a target material using plasma includes cyclically performing the steps of an etch step for a first duration to etch a target material exposed in openings of a patterned mask material, and a flash step for a second duration after the first duration to remove polymer material accumulated at the openings during the etch step. The etch step is performed by generating plasma from an etch precursor gas including an etchant species. The target material may be a dielectric, such as a dielectric target material that includes an oxide. The flash step is performed by generating plasma from a flash precursor gas. Bias power may be provided to the substrate during the flash step. The flash species is different from the etchant species. The flash precursor gas may include oxygen and no fluorocarbons.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

10.

RESONANT ANTENNA FOR PHYSICAL VAPOR DEPOSITION APPLICATIONS

      
Numéro d'application 17971394
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Lane, Barton
  • Takagi, Masaki

Abrégé

Systems and methods provide a solution for efficiently generating high density plasma for a physical vapor deposition (PVD). The present solution includes a vacuum chamber for a PVD process. The system can include a target located within the vacuum chamber for sputtering a material onto a wafer. The system can include a resonant structure formed by an antenna and a plurality of capacitors. The resonant structure can be configured to provide a pulsed output at a resonant frequency. The resonant structure can be configured to generate, via the antenna and based on the pulsed output, a plasma between the target and a location of the wafer to ionize the material sputtered from the target.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 14/35 - Pulvérisation cathodique par application d'un champ magnétique, p.ex. pulvérisation au moyen d'un magnétron
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

11.

FILM FORMING DEVICE AND FILM FORMING METHOD

      
Numéro d'application 18275359
Statut En instance
Date de dépôt 2022-01-26
Date de la première publication 2024-04-25
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Yamawaku, Jun

Abrégé

[Solution] A device according to the present disclosure comprises: a plasma generation chamber that is provided with a plasma generation mechanism for activating a second processing gas, when forming a film on a substrate by supplying each of a first processing gas, a substitution gas, the plasma-activated second processing gas, and the substitution gas, in order and by turns, to a processing vessel in which an interior processing space is evacuated so as to become a vacuum atmosphere; an evacuation mechanism that evacuates the plasma generation chamber; and a supply destination changing valve that is provided on an evacuation path connecting the plasma generation chamber and the evacuation mechanism, and opens and closes such that the supply destination of the plasma-activated second processing gas switches between a downstream side of the evacuation path, and the processing space.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

12.

Time-Resolved OES Data Collection

      
Numéro d'application 17973083
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Voronin, Sergey
  • Mitrovic, Andrej
  • Messer, Blaze
  • Chen, Yan
  • Ng, Joel
  • Shalini, Ashawaraya
  • Zhu, Ying
  • Song, Da

Abrégé

A method of processing a substrate that includes: exposing the substrate in a plasma processing chamber to a plasma powered by applying a first power to a first electrode of a plasma processing chamber; turning OFF the first power to the first electrode after the first time duration; while the first power is OFF, applying a second power to a second electrode of the plasma processing chamber for a second time duration, the second time duration being shorter than the first time duration, an energy of the second power over the second time duration is less than an energy of the first power over the first time duration by a factor of at least 2; and detecting an optical emission spectrum (OES) from species in the plasma processing chamber.

Classes IPC  ?

  • G01J 3/443 - Spectrométrie par émission
  • G01J 3/02 - Spectrométrie; Spectrophotométrie; Monochromateurs; Mesure de la couleur - Parties constitutives

13.

FILM FORMING METHOD AND FILM FORMING APPARATUS

      
Numéro d'application 18476804
Statut En instance
Date de dépôt 2023-09-28
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Kubo, Kazumi

Abrégé

A film forming method includes causing adsorption of a source gas on a substrate surface having a convex portion, and forming a film on the substrate surface using a thermal reaction between the adsorbed source gas and a reactive gas. The substrate is disposed on a surface of a turntable provided inside a vacuum chamber. An adsorption region in which the causing is performed, and a reaction region in which the forming is performed, are provided inside the vacuum chamber above the turntable along a circumferential direction of the turntable. The causing and the forming are repeated with respect to the substrate by rotating the turntable in a state where the source and reactive gases are supplied to the adsorption and reaction regions, respectively. At least one of the source gas supply and the reactive gas supply supplies the gas at an angle with respect to a vertically downward direction.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/40 - Oxydes

14.

SUBSTRATE PROCESSING APPARATUS AND SHUTTER

      
Numéro d'application 18381222
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Aramaki, Takashi
  • Matsuzaka, Kojiro
  • Ogata, Atsushi
  • Li, Lifu
  • Park, Gyeong Min

Abrégé

A substrate processing apparatus comprises a substrate support disposed in the chamber, a shutter including a valve body configured to open and close an opening of the chamber, and a baffle plate disposed between an inner peripheral side of the chamber and the substrate support and having a vertically inclined portion at an end portion on a substrate support side, and a contact member disposed on a side surface of the substrate support and formed of a conductive elastic member. In a state where the shutter is closed, contact between the end portion on the substrate support side and the contact member is maintained.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

15.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE GRIPPING DEVICE

      
Numéro d'application 18483608
Statut En instance
Date de dépôt 2023-10-10
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hashimoto, Yusuke
  • Goto, Daisuke
  • Ogata, Nobuhiro
  • Higashijima, Jiro
  • Obaru, Tomoaki
  • Mori, Kanta

Abrégé

A substrate processing apparatus that supplies a processing liquid to a front surface of a substrate which is rotating, includes: a substrate holder configured to hold the substrate, wherein the substrate holder includes: a gripper configured to come into contact with a periphery of the substrate to grip the substrate; and a base to which the gripper is attached.

Classes IPC  ?

  • C23F 1/08 - Appareillage, p.ex. pour les surfaces d'impression photomécanique

16.

CONSUMABLE MEMBER, PLASMA PROCESSING APPARATUS, AND METHOD OF MANUFACTURING CONSUMABLE MEMBER

      
Numéro d'application 18398213
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Moyama, Kazuki
  • Nagayama, Nobuyuki
  • Miura, Mamoru

Abrégé

A consumable member includes a core portion formed of the material having a first purity; and a protection portion provided at a portion worn out by plasma in the plasma processing apparatus around the core portion, and formed of the material having a second purity higher than the first purity. The material may be either quartz or ceramic.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

17.

TEST DEVICE AND TEMPERATURE CONTROL METHOD

      
Numéro d'application 18546832
Statut En instance
Date de dépôt 2022-02-14
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Nakayama, Hiroyuki

Abrégé

A test device according to one aspect of the present disclosure includes a stage configured to mount a substrate, a first temperature sensor configured to measure a surface temperature of the stage and a temperature of the substrate mounted on the stage, a second temperature sensor for controlling a temperature of the stage, and a controller configured to control the temperature of the stage by offsetting a control temperature of the second temperature sensor based on the surface temperature of the stage and the temperature of the substrate that are measured by the first temperature sensor.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux

18.

METHOD TO SELECTIVELY ETCH SILICON NITRIDE TO SILICON OXIDE USING WATER CRYSTALLIZATION

      
Numéro d'application 17967996
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Tsai, Yu-Hao
  • Wang, Mingmei
  • Zhang, Du

Abrégé

Embodiments of improved processes and methods that provide selective etching of silicon nitride are disclosed herein. More specifically, a cyclic, two-step dry etch process is provided to selectively etch silicon nitride layers formed on a substrate, while protecting oxide layers formed on the same substrate. The cyclic, two-step dry etch process sequentially exposes the substrate to: (1) a hydrogen plasma to modify exposed surfaces of the silicon nitride layer and the oxide layer to form a modified silicon nitride surface layer and a modified oxide surface layer, and (2) a halogen plasma to selectively etch silicon nitride by removing the modified silicon nitride surface layer without removing the modified oxide surface layer. The oxide layer is protected from etching during the removal step (i.e., step 2) by creating a crystallized water layer on the oxide layer during the surface modification step (i.e., step 1).

Classes IPC  ?

  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques

19.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18397020
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Nakane, Yuta
  • Kumakura, Sho

Abrégé

In one exemplary embodiment, a substrate processing method includes: (a) providing a substrate including a metal-containing film and a mask provided on the metal-containing film; (b) forming a protective film on the mask; and (c) etching the metal-containing film after (b). (c) includes (c1) forming a second metal-containing substance from a first metal-containing substance contained in the metal-containing film by using a first processing gas including a fluorine-containing gas, and (c2) removing the second metal-containing substance by using a second processing gas including a precursor.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

20.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18535134
Statut En instance
Date de dépôt 2023-12-11
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Higashi, Koudai
  • Hayashi, Masato
  • Noguchi, Kohei

Abrégé

A substrate processing apparatus includes a supply channel through which a liquid to be supplied to a substrate flows; and a foreign substance detecting unit configured to detect a foreign substance in the liquid based on a signal obtained when light, which is near-infrared light, is radiated toward a flow path forming unit constituting a part of the supply channel by a light projector so that light is emitted from the flow path forming unit and a light receiver receives the light emitted from the flow path forming unit.

Classes IPC  ?

  • G01N 21/39 - Couleur; Propriétés spectrales, c. à d. comparaison de l'effet du matériau sur la lumière pour plusieurs longueurs d'ondes ou plusieurs bandes de longueurs d'ondes différentes en recherchant l'effet relatif du matériau pour les longueurs d'ondes caractéristiques d'éléments ou de molécules spécifiques, p.ex. spectrométrie d'absorption atomique en utilisant des lasers à longueur d'onde réglable
  • G01N 21/85 - Analyse des fluides ou solides granulés en mouvement

21.

COMPONENT REPLACEMENT METHOD, COMPONENT REPLACEMENT DEVICE, AND COMPONENT REPLACEMENT SYSTEM

      
Numéro d'application 18025934
Statut En instance
Date de dépôt 2022-05-27
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Endo, Hiroki
  • Sato, Suguru

Abrégé

There is provided a component replacement method comprising: a) connecting a component replacement device to a chamber of a processing device configured to process a substrate; b) inserting an end effector disposed at a tip end of a transfer arm in the component replacement device into the chamber, and measuring a first distance from a predetermined position in the chamber to the end effector using a distance sensor provided on the end effector; c) moving the end effector until a difference between the first distance and a predetermined second distance becomes less than a predetermined third distance; d) capturing a feature disposed at a predetermined position in the chamber by a camera provided on the end effector; e) moving the end effector so that the feature is captured in a predetermined position in an image captured by the camera; and f) replacing a component in the chamber using the end effector with reference to a position of the end effector in a state where the feature is captured in the predetermined position in the image captured by the camera.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/244 - Détecteurs; Composants ou circuits associés

22.

VAPORIZATION DEVICE, SEMICONDUCTOR MANUFACTURING SYSTEM, AND METHOD FOR VAPORIZING SOLID RAW MATERIAL

      
Numéro d'application 18378932
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Furuya, Yuichi
  • Mochizuki, Ryuta

Abrégé

A vaporization device includes a vaporization amount adjusting plate that covers a surface of a solid raw material, and an exhaust passage that exhausts a carrier gas that flows while being faced with the vaporization amount adjusting plate. The vaporization amount adjusting plate has a plurality of through holes. An aperture ratio per unit area in the adjusting plate varies along a flowing direction of the carrier gas. The carrier gas is vaporized from the solid raw material and carries a predetermined raw material that has passed through the plurality of through holes.

Classes IPC  ?

  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

23.

SURFACE ENERGY MODIFICATION IN HYBRID BONDING

      
Numéro d'application 18313177
Statut En instance
Date de dépôt 2023-05-05
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hooge, Joshua
  • Carcasi, Michael

Abrégé

A semiconductor structure includes a semiconductor substrate and a dielectric layer disposed over the semiconductor substrate. The semiconductor structure includes a conductive feature embedded in the dielectric layer. The semiconductor structure includes a barrier layer disposed between the conductive feature and the dielectric layer. The semiconductor structure further includes a self-assembled monolayer (SAM) disposed over the barrier layer, at least a portion of the SAM directly contacting the conductive feature.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

24.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18275908
Statut En instance
Date de dépôt 2022-01-31
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Higuchi, Rintaro
  • Nakamori, Mitsunori
  • Kagawa, Koji
  • Sekiguchi, Kenji
  • Nakabayashi, Hajime
  • Yonezawa, Syuhei

Abrégé

A substrate processing method includes: (A) preparing a substrate, on which a high-dielectric film having a higher permittivity than a SiO2 film is formed; (B) supplying, to the substrate, a metal solution containing a second metal element having a higher electronegativity or a lower valence than a first metal element contained in the high-dielectric film; and (C) forming a doping layer, in which the first metal element is substituted with the second metal element, on a surface of the high-dielectric film.

Classes IPC  ?

25.

SELECTIVE GAS PHASE ETCH OF SILICON GERMANIUM ALLOYS

      
Numéro d'application 17967298
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kanaki, Toshiki
  • Kal, Subhadeep
  • Mosden, Aelan
  • Otto, Iv, Lvo
  • Matsumoto, Masashi
  • Irie, Shinji

Abrégé

Methods for selective etching of one layer or material relative to another layer or material adjacent thereto. In an example, a SiGe layer is etched relative to or selective to another silicon containing layer which either contains no germanium or geranium in an amount less than that of the target layer.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

26.

FILM FORMING METHOD AND FILM FORMING APPARATUS

      
Numéro d'application 18481492
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Takezawa, Yoshihiro
  • Kanazawa, Toru
  • Watanabe, Yosuke
  • Miyahara, Tatsuya
  • Tanabe, Yuki
  • Suzuki, Daisuke
  • Watanabe, Masahisa
  • Suzuki, Keisuke
  • Basu, Tuhin Shuvra

Abrégé

A film forming method includes preparing a substrate having an amorphous silicon film on a surface thereof, diffusing nickel into the amorphous silicon film by supplying a nickel source gas to the amorphous silicon film, and forming a polycrystalline silicon film by heating the amorphous silicon film, and crystallizing the amorphous silicon film by metal-induced lateral crystallization using the nickel diffused in the amorphous silicon film as a nucleus.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/24 - Dépôt uniquement de silicium

27.

METHOD FOR FABRICATING A FERROELECTRIC DEVICE

      
Numéro d'application 18487502
Statut En instance
Date de dépôt 2023-10-16
Date de la première publication 2024-04-18
Propriétaire
  • Tokyo Electron Limited (Japon)
  • Ferroelectric Memory GmbH (Allemagne)
Inventeur(s)
  • Triyoso, Dina
  • Clark, Robert
  • Tapily, Kandabara
  • Schenk, Tony
  • Kashir, Alireza
  • Mueller, Stefan Ferdinand

Abrégé

A method for fabricating a ferroelectric device includes providing a lower electrode layer on a substrate, forming a retention enhancement layer by oxidizing a surface of the lower electrode layer using a gas phase oxidation process, and depositing a ferroelectric high-k metal oxide layer over the retention enhancement layer on the lower electrode layer using a vapor deposition process. The retention enhancement layer on the lower electrode layer increases the retention performance and reliability of the ferroelectric device.

Classes IPC  ?

  • H10B 53/00 - Dispositifs RAM ferro-électrique [FeRAM] comprenant des condensateurs ferro-électriques de mémoire
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 21/321 - Post-traitement

28.

METHOD FOR FORMING RESIST PATTERN, METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING DEVICE, AND STORAGE MEDIUM

      
Numéro d'application 18470491
Statut En instance
Date de dépôt 2023-09-20
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Nagahara, Seiji
  • Dinh, Congque
  • Muramatsu, Makoto
  • Cho, Kayoko

Abrégé

Disclosed is a method for forming a resist pattern including, in the following order, irradiating a part of a resist film containing a resist material with a first radiation, baking the resist film, irradiating the entire region including the part irradiated with the first radiation and other parts in the resist film with a second radiation in a batch, and forming a resist pattern by development for removing a part of the resist film.

Classes IPC  ?

  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage

29.

METHOD OF CONTROLLING SUBSTRATE TRANSFER SYSTEM

      
Numéro d'application 18478767
Statut En instance
Date de dépôt 2023-09-29
Date de la première publication 2024-04-18
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kinoshita, Kosuke
  • Sugimoto, Takashi

Abrégé

Provided is a method of controlling a substrate transfer system transferring substrates by controlling a first transfer device and a second transfer device comprising: a process of acquiring process module-specific substrate transfer positions for each of the plurality of process modules, wherein the process module-specific substrate transfer positions are substrate transfer positions of the mounting parts of the first transfer device for allowing the second transfer device to place substrates at centers of the stages, the second transfer device transferring substrates from the mounting parts of the load lock module to the stages of the process modules.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

30.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18485469
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-04-18
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Anamoto, Atsushi
  • Umeno, Shinichi

Abrégé

A substrate processing apparatus includes: a reservoir configured to temporarily store a processing liquid for processing a substrate; a replenisher configured to replenish the processing liquid to the reservoir; a flow rate measurer configured to measure a flow rate of the processing liquid replenished to the reservoir; a gas supplier configured to supply gas to the reservoir to pressurize an interior of the reservoir; and a controller, wherein the controller is configured to control the gas supplier based on a value measured by the flow rate measurer to execute a process of replenishing the processing liquid from the replenisher to the reservoir while regulating a magnitude of an internal pressure of the reservoir.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05D 9/12 - Commande du niveau, p.ex. en commandant la quantité du matériau emmagasiné dans un réservoir caractérisée par l'utilisation de moyens électriques
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

31.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18263920
Statut En instance
Date de dépôt 2022-01-24
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Wada, Makoto
  • Ifuku, Ryota
  • Matsumoto, Takashi
  • Yamada, Hiroki

Abrégé

A substrate processing method of processing a substrate includes: a carry-in process of carrying the substrate into a processing container; a first process of forming a first carbon film on the substrate with plasma of a first mixture gas containing a carbon-containing gas in a state in which interior of the processing container is maintained at a first pressure; and a second process of changing a pressure in the processing container to a second pressure higher than the first pressure.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/26 - Dépôt uniquement de carbone
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/511 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à micro-ondes
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

32.

APPARATUS FOR TRANSFERRING SUBSTRATE, SUBSTRATE PROCESSING SYSTEM AND METHOD OF PROCESSING SUBSTRATE

      
Numéro d'application 18273446
Statut En instance
Date de dépôt 2022-01-12
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Shindo, Takehiro
  • Shimamura, Akinori
  • Sakaue, Hiromitsu
  • Li, Dongwei

Abrégé

Provided is an apparatus that transfers a substrate inside a substrate transfer chamber by a substrate transfer module using magnetic levitation. The apparatus includes: a substrate transfer chamber having a floor portion provided with a first magnet and connected, through an opening portion, to a substrate processing chamber in which the substrate is processed; and a substrate transfer module including a substrate holder configured to hold the substrate, and a second magnet configured such that a repulsive force acts between the first magnet and the second magnet. The substrate transfer module is movable inside the substrate transfer chamber by the magnetic levitation based on the repulsive force. The substrate transfer module performs loading/unloading of the substrate by directly entering into the substrate transfer chamber via the opening portion, or delivers the substrate to and from a substrate transfer mechanism fixedly provided inside the substrate transfer chamber.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

33.

PLASMA PROCESSING DEVICE, HIGH-FREQUENCY POWER SUPPLY CIRCUIT, AND IMPEDANCE MATCHING METHOD

      
Numéro d'application 18274808
Statut En instance
Date de dépôt 2022-01-19
Date de la première publication 2024-04-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • KYUSHU UNIVERSITY, NATIONAL UNIVERSITY CORPORATION (Japon)
Inventeur(s)
  • Shiratani, Masaharu
  • Kamataki, Kunihiro
  • Koga, Kazunori
  • Shindo, Takahiro
  • Matsudo, Tatsuo

Abrégé

There is provided a plasma processing apparatus for performing plasma processing on a substrate, comprising: a processing container accommodating the substrate; an electrode to which a high-frequency power for generating plasma in the processing container is applied; a high-frequency power supply configured to apply the high-frequency power to the electrode; and a high-frequency power supply circuit configured to supply the high-frequency power from the high-frequency power supply to the electrode. The high-frequency power supply circuit comprises: a power supply path configured to supply a power from the high-frequency power supply to the electrode; and a matching device configured to match a high-frequency power supply-side impedance with a plasma-side impedance, the matching device comprising a negative impedance portion that is connected to the power supply path and realizes a negative impedance corresponding to a plasma-side impedance.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 11/44 - Convertisseurs à impédance négative

34.

SHIFTED MULTI-VIA CONNECTION FOR HYBRID BONDING

      
Numéro d'application 18373098
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Ryan, Kevin

Abrégé

Shifted multi-via connections are disclosed. A method includes providing a first contact array structure on a first substrate. The first contact array structure includes a plurality of first contacts. The method includes providing a second contact array structure on a second substrate. The second contact array structure includes a plurality of second contacts configured to interface with the plurality of first contacts. The method includes bonding the first substrate to the second substrate. Portions of the first contact array structure, the second contact array structure or both the first and second contact array structures are intentionally shifted to compensate for misalignment that occurs during the bonding of the first substrate to the second substrate.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 21/822 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels pour produire des dispositifs, p.ex. des circuits intégrés, consistant chacun en une pluralité de composants le substrat étant un semi-conducteur, en utilisant une technologie au silicium

35.

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

      
Numéro d'application 18392294
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Koshimizu, Chishio

Abrégé

In a plasma processing apparatus, a radio-frequency power supply adjusts frequencies of radio-frequency power in each bias cycle of electrical bias energy. The radio-frequency power supply uses a reference time series of frequencies of the radio-frequency power in each bias cycle. The radio-frequency power supply repeats using a changed time series of frequencies of the radio-frequency power in each bias cycle to increase a degree of match based on an evaluation value. The changed time series results from shifting the reference time series by a phase shift amount, scaling the reference time series in a frequency direction, or scaling two or more of multiple time zones of the reference time series in a time direction.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

36.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18483050
Statut En instance
Date de dépôt 2023-10-09
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Kadobe, Masato
  • Nitadori, Hiromi
  • Abe, Takahiro
  • Sato, Junichi

Abrégé

A substrate processing apparatus includes: a loading/unloading part having a first side surface into or from which a container accommodating a substrate is loaded or unloaded and a second side surface opposite to the first side surface; a substrate transfer part extending in a first direction orthogonal to the second side surface; and a plurality of batch processors adjacent to each other in a length direction of the substrate transfer part. The loading/unloading part includes: a first transfer device and a second transfer device configured to transfer the container; a first area accessible to the first transfer device and having a plurality of first storage shelves configured to store the container, a second area accessible to the second transfer device and having a plurality of second storage shelves configured to store the container; and a movable shelf configured to be movable between the first area and the second area.

Classes IPC  ?

  • B65G 1/10 - Dispositifs d'emmagasinage mécaniques avec supports relativement mobiles pour faciliter l'insertion ou l'enlèvement des objets
  • B65G 49/06 - Systèmes transporteurs caractérisés par leur utilisation à des fins particulières, non prévus ailleurs pour des matériaux ou objets fragiles ou dommageables pour des feuilles fragiles, p.ex. en verre

37.

System and Method for Plasma Process Uniformity Control

      
Numéro d'application 17961335
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Voronin, Sergey
  • Wang, Qi

Abrégé

A method of plasma processing includes delivering direct current voltage to a substrate holder including an upper side configured to support a substrate disposed within a plasma processing chamber. The upper side is divided into a plurality of zones by a plurality of conductors electrically isolated from each other. The method further includes pulsing the direct current voltage as first direct current pulses to a first conductor of the plurality of conductors using first pulse parameters, and pulsing the direct current voltage as second direct current pulses to a second conductor of the plurality of conductors using second pulse parameters that are different from the first pulse parameters. The direct current voltage is pulsed to the second conductor while pulsing the direct current voltage to the first conductor.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

38.

HIGH PERFORMANCE 3D CHANNELS WITH UPSILON NANOSHEETS

      
Numéro d'application 17962222
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Gardner, Mark I.
  • Fulford, H. Jim

Abrégé

A method for fabricating and a structure comprising one or more transistors where a transistor includes one or more nanosheets formed based on one or more layers of a nanosheet material. A layer of shell material can at least partly surround the one or more nanosheets to form one or more channels of the transistor. A gate structure of the transistor can at least partly surround each of the one or more channels. The gate structure can include a gate dielectric disposed between the layer of the shell material and a gate metal of the gate structure for each of the nanosheets, where the shell material can include a charge carrier mobility that is greater than a charge carrier mobility of the nanosheet material.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

39.

PLASMA PROCESSING APPARATUS AND CLEANING METHOD

      
Numéro d'application 18544468
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Tsuchiya, Kazuki

Abrégé

The chamber is internally provided with a stage on which a substrate is disposed, and an exhaust port connected to an exhaust system around the stage. The baffle is provided around the stage, and divides a space in the chamber into a processing space where plasma processing is performed on the substrate, and an exhaust space connected to the exhaust port. The switching mechanism switches the baffle between a shield state in which the baffle shields a plasma and a transmissive state in which the baffle allows a plasma to pass therethrough. The controller controls the switching mechanism to switch the baffle from the shield state to the transmissive state or from the transmissive state to the shield state.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

40.

SUBSTRATE PROCESSING APPARATUS AND CONTROL METHOD FOR A SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 17961601
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Tsugao, Keisuke
  • Takimoto, Yuji

Abrégé

A substrate processing apparatus includes a plurality of processing units, an exhaust route, a gas processing device, and a controller. The exhaust route is provided where a gas that is discharged from the plurality of processing units. The gas processing device eliminates a target component in the gas and includes a duct, a partition plate, a liquid supply unit, and a concentration detection unit. The duct has a flow path. The partition plate partitions the flow path into a plurality of spaces and is formed of a porous material. The liquid supply unit supplies a dissolving liquid to the partition plate. The concentration detection unit detects a concentration of the target component. The controller regulates a flow volume of the dissolving liquid, based on at least one of operation information that indicates operation states of the plurality of processing units and a detection result of the concentration detection unit.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

41.

3D NANOSHEET STACK WITH DUAL SELECTIVE CHANNEL REMOVAL OF HIGH MOBILITY CHANNELS

      
Numéro d'application 17962233
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fulford, H. Jim
  • Gardner, Mark I.

Abrégé

A transistor structure may include a first transistor beside a second transistor. The first transistor can include a first nanosheet oriented horizontally and forming a first channel, a second nanosheet oriented horizontally and forming a second channel, and a first gate structure disposed between and at least partly surrounding the first channel and the second channel. The second transistor can include a third nanosheet oriented horizontally and forming a third channel, a fourth nanosheet oriented horizontally and forming a fourth channel, and a second gate structure disposed between and at least partly surrounding the third channel and the fourth channel. The first nanosheet can be disposed above the third nanosheet, the third nanosheet is disposed above the second nanosheet, and the second nanosheet is disposed above the fourth nanosheet.

Classes IPC  ?

  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/8256 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels pour produire des dispositifs, p.ex. des circuits intégrés, consistant chacun en une pluralité de composants le substrat étant un semi-conducteur, en utilisant des technologies non couvertes par l'un des groupes , ou
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique

42.

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THEREOF

      
Numéro d'application 17962235
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fulford, H. Jim
  • Gardner, Mark I.
  • Mukhopadhyay, Partha

Abrégé

A method includes forming a fin structure over a substrate, the fin structure including alternating first semiconductor layers and second semiconductor layers stacked along a vertical direction; forming a dummy gate structure over the fin structure; performing a plasma doping process to form source/drain regions in each second semiconductor layer adjacent the dummy gate structure, where a portion of each second semiconductor layer interposing between the source/drain regions defines a channel region; forming a dielectric layer over the fin structure; removing the dummy gate structure to form a gate trench in the dielectric layer; selectively removing the first semiconductor layers to form openings interleaved with the second semiconductor layers; depositing an inner spacer layer to partially fill the gate trench and the openings, wherein the inner spacer layer overlaps with the source/drain regions along the lateral direction; and forming a metal gate structure over the inner spacer layer.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/223 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductrices; Redistribution des impuretés, p.ex. sans introduction ou sans élimination de matériau dopant supplémentaire en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase gazeuse
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique

43.

METHOD FOR SETTING UP TEST APPARATUS AND TEST APPARATUS

      
Numéro d'application 18264319
Statut En instance
Date de dépôt 2022-02-07
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Watanabe, Shinjiro

Abrégé

One aspect of the present disclosure relates to a method of setting up a test apparatus that is a method of setting up the test apparatus to test a substrate by bringing a probe into contact with an electrode pad formed on a chip on the substrate that is mounted on a stage. The method includes acquiring a first image including the probe in a probe card that is attached to the test apparatus. The method includes calculating first information including a center of gravity, and an angle, for the probe card, based on probe information including a position of the probe that is calculated based on the first image; and probe information or pad information that is pre-provisioned and corresponds to the probe card. The method includes acquiring a second image including the electrode pad on the substrate mounted on the stage. The method includes calculating second information including a center of gravity of the chip and an angle for the substrate, based on pad information that includes a position of the electrode pad and is calculated based on the second image; and pad information that is pre-provisioned and corresponds to the substrate. The method includes outputting the first information and the second information.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • G01R 1/073 - Sondes multiples

44.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18372995
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Gunji, Ryosuke

Abrégé

A substrate processing apparatus includes a chamber comprising an exhaust port in a bottom portion of the chamber, a substrate support disposed within the chamber, a partition member that partitions a substrate processing region from an exhaust region connected to the exhaust port, one or more plate-shaped members provided upstream of the partition member with respect to a flow of exhaust gas to the exhaust port and configured to block particles from the partition member. At least one of the one or more plate-shaped members comprises a through-hole configured to allow the exhaust gas to the exhaust port to pass therethrough, the through-hole opened to be directed to a side surface of the substrate support or to an inner surface of the chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

45.

SUBSTRATE TRANSFER UNIT AND SUBSTRATE TRANSFER CONTROL METHOD

      
Numéro d'application 18376512
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Taoda, Isamu

Abrégé

A substrate transfer unit that transfers a substrate to a target transfer position includes a transfer mechanism having a portion where two arms are connected to each other by a shaft, an imager photographing the substrate, an image processor image-processing images, and a controller configured to perform feedback control of a drive mechanism such that when the substrate is transferred by a transfer mechanism, a shaft angle detected by a shaft angle detector reaches a target value, and perform correction of a feedback control based on image information obtained by image-processor. The transfer controller is further configured to perform the feedback control periodically, cause the imager and the image processor to perform the photographing and the image-processing in real time concurrently with the feedback control at least once for each feedback control, and perform the correction of the control operation whenever the feedback control is performed.

Classes IPC  ?

  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • B25J 9/16 - Commandes à programme
  • B25J 13/08 - Commandes pour manipulateurs au moyens de dispositifs sensibles, p.ex. à la vue ou au toucher
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

46.

PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

      
Numéro d'application 18389827
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Sasagawa, Hironari
  • Kumakura, Sho

Abrégé

A plasma processing method includes providing a substrate having a recess is provided in a processing container; generating plasma in the processing container to form a film on the recess; monitoring a state of the plasma generated in the generating; and determining necessity of re-execution of the generating and processing conditions for the re-execution based on the monitored plasma state.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/311 - Gravure des couches isolantes

47.

SUBSTRATE TREATMENT METHOD, SUBSTRATE TREATMENT APPARATUS, AND COMPUTER STORAGE MEDIUM

      
Numéro d'application 18473459
Statut En instance
Date de dépôt 2023-09-25
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Kawakami, Shinichiro
  • Yoshihara, Kosuke
  • Shimura, Satoru
  • Kuwahara, Yuhei
  • Onitsuka, Tomoya
  • Okada, Soichiro
  • Furusho, Tetsunari

Abrégé

A substrate treatment method includes: performing a first heat treatment on a substrate on which a coating film of a metal-containing resist has been formed and subjected to an exposure treatment, to form the metal-containing resist into a precursor in an exposed region of the coating film; thereafter, performing a second heat treatment on the substrate to condense the metal-containing resist formed into the precursor in the exposed region of the coating film; and thereafter, performing a developing treatment on the substrate.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

48.

PLACING TABLE AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18477047
Statut En instance
Date de dépôt 2023-09-28
Date de la première publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Kikuchi, Yusuke
  • Shinada, Masato

Abrégé

There is a placing table comprising: an electrostatic chuck having a chuck electrode, wherein the electrostatic chuck is configured to attract and hold a substrate on a placing surface and to be rotatable; a freezing device having a contact surface in contact with or separated from a surface of the electrostatic chuck opposite to the placing surface and configured to cool the electrostatic chuck; and a power controller configured to superimpose a radio frequency (RF) bias voltage applied to the electrostatic chuck on a chuck voltage applied to the chuck electrode.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 14/35 - Pulvérisation cathodique par application d'un champ magnétique, p.ex. pulvérisation au moyen d'un magnétron
  • C23C 14/50 - Porte-substrat

49.

FILM FORMING APPARATUS AND FILM FORMING METHOD

      
Numéro d'application 18477060
Statut En instance
Date de dépôt 2023-09-28
Date de la première publication 2024-04-11
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s) Suzuki, Yasunobu

Abrégé

A film forming apparatus for performing film formation on a substrate comprises a processing chamber, a stage configured to place thereon a substrate disposed in the processing chamber, a film forming part configured to perform film formation on the substrate placed on the stage, a shutter that is movable between a shielding position where the substrate on the stage is shielded and a retracted position retracted from the stage and where the film forming part performs the film formation on the substrate, and a film thickness measuring part. The film thickness measuring part has a film thickness measuring device configured to measure a film thickness of a film formed on the shutter at the shielding position by the film forming part.

Classes IPC  ?

  • C23C 14/34 - Pulvérisation cathodique
  • C23C 14/16 - Matériau métallique, bore ou silicium sur des substrats métalliques, en bore ou en silicium
  • C23C 14/50 - Porte-substrat

50.

MOTOR CONTROL METHOD, TRANSFER DEVICE, AND STORING MEDIUM

      
Numéro d'application 18480575
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-04-11
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Masaki, Youichi
  • Yano, Mitsuteru
  • Sekimoto, Eiichi
  • Otsuka, Tsuyoshi
  • Teramoto, Akihiro
  • Ito, Teppei
  • Takayanagi, Koji

Abrégé

A motor control method for transferring an object to be transferred by a moving object that moves by driving of a motor in a substrate processing apparatus, includes: a data acquisition process of acquiring, at different times, pieces of drive data which relate to the driving of the motor and vary with heat generation of the motor; and a transfer process of transferring the object to be transferred by controlling current to be supplied to the motor, based on each of the pieces of drive data, to compensate for displacement of the object to be transferred from a target transfer position due to the heat generation of the motor.

Classes IPC  ?

  • H02P 21/20 - Estimation du couple
  • H02P 21/22 - Commande du courant, p.ex. en utilisant une boucle de commande

51.

ETCHING METHOD AND PLASMA PROCESSING APPARATUS

      
Numéro d'application 18376050
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Takata, Fumiya
  • Togashi, Wataru
  • Oikawa, Kota

Abrégé

In one embodiment, an etching method includes (a) preparing a substrate having a first region including a first material that contains silicon, and a second region including a second material different from the first material, and (b) etching the first region by plasma generated from a processing gas containing a carbon- and fluorine-containing gas, a nitrogen-containing gas, and a metal halide gas. In (b), a flow rate of the metal halide gas is lower than a flow rate of the carbon- and fluorine-containing gas and a flow rate of the nitrogen-containing gas.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

52.

SUBSTRATE TRANSFER MODULE AND SUBSTRATE TRANSFER METHOD

      
Numéro d'application 18472157
Statut En instance
Date de dépôt 2023-09-21
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Suwa, Mitsuyori
  • Shindo, Takehiro
  • Tateishi, Akio

Abrégé

A substrate transfer module includes: a transfer space in which a transport body including a magnet moves in a lateral direction while being levitated from a floor by magnetic force to transfer a substrate; a hole forming member having a through-hole formed in a vertical direction; a partition member that forms the floor by overlapping a hole edge portion of the through-hole in the vertical direction to block the through-hole, and defines the transfer space having an atmosphere that is separated from a non-transfer space including a portion under the floor outside the transfer space; and a plurality of electromagnets provided in the non-transfer space at positions overlapping the through-hole to move the transport body in the lateral direction, wherein each of the electromagnets is individually fed with power from a power feeder provided in the non-transfer space via a power feed line.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

53.

ETCHING METHOD AND PLASMA PROCESSING SYSTEM

      
Numéro d'application 18479599
Statut En instance
Date de dépôt 2023-10-02
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Matsubara, Ryo
  • Takahashi, Atsushi
  • Nakane, Yuta
  • Saito, Noboru

Abrégé

In one exemplary embodiment, there is provided an etching method. The method includes (a) preparing a substrate, the substrate comprising a silicon-containing film and a mask, the silicon-containing film including a recess, the mask being provided on the silicon-containing film and including an opening that exposes the recess; (b) forming a carbon-containing film on a side wall of the silicon-containing film, the side wall defining the recess; and (c) by using a plasma generated from a processing gas, forming a protective film containing tungsten on the carbon-containing film and etching the silicon-containing film in the recess, the processing gas including a fluorine-containing gas and a tungsten-containing gas.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

54.

PLASMA PROCESSING SYSTEM, PLASMA PROCESSING APPARATUS, AND ETCHING METHOD

      
Numéro d'application 18479986
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Saito, Noboru
  • Nakane, Yuta
  • Takahashi, Atsushi
  • Ishikawa, Shinya
  • Ohuchida, Satoshi
  • Tomura, Maju

Abrégé

A plasma processing system includes: first and second processing chambers having respective first and second substrate supports; a transport chamber connected to the first and second processing chambers, and having a transport device; and a controller that executes processing of (a) disposing a substrate including a silicon-containing film having a recess portion and a mask on the silicon-containing film on the first substrate support of the first processing chamber, (b) forming a carbon-containing film on a side wall of the silicon-containing film defining the recess portion in the first processing chamber, (c) transporting the substrate from the first processing chamber to the second processing chamber via the transport chamber and disposing the substrate on the second substrate support, and (d) etching a bottom portion of the recess portion where the carbon-containing film is formed by using a plasma formed from a first processing gas in the second processing chamber.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes

55.

In-Situ Adsorbate Formation for Dielectric Etch

      
Numéro d'application 17937179
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Zhang, Du
  • Tsai, Yu-Hao
  • Yokoi, Masahiko
  • Wang, Mingmei
  • Kihara, Yoshihide

Abrégé

A method of processing a substrate that includes: flowing an etch gas, O2, and an adsorbate precursor into a plasma processing chamber that is configured to hold the substrate including a silicon-containing dielectric layer and a patterned mask layer, the etch gas including hydrogen and fluorine; generating a plasma in the plasma processing chamber while flowing the etch gas, O2, and the adsorbate precursor, the adsorbate precursor being oxidized to form an adsorbate; and patterning, with the plasma, the silicon-containing dielectric layer on the substrate, where the adsorbate forms a sidewall passivation layer.

Classes IPC  ?

56.

Low-Temperature Etch

      
Numéro d'application 17956089
Statut En instance
Date de dépôt 2022-09-29
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Zhang, Du
  • Tomura, Maju
  • Mukaiyama, Koki
  • Niizeki, Tomohiko
  • Kihara, Yoshihide
  • Wang, Mingmei

Abrégé

A method of processing a substrate that includes: flowing dioxygen (O2) and a hydrogen-containing gas into a plasma processing chamber that is configured to hold the substrate, the substrate including an organic layer and a patterned etch mask, the hydrogen-containing gas including dihydrogen (H2), a hydrocarbon, or hydrogen peroxide (H2O2); generating an oxygen-rich plasma while flowing the gases; maintaining a temperature of the substrate in the plasma processing chamber between −150° C. and −50° C.; and while maintaining the temperature, exposing the substrate to the oxygen-rich plasma to form a recess in the organic layer.

Classes IPC  ?

  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques

57.

In-Situ Adsorbate Formation for Plasma Etch Process

      
Numéro d'application 17937151
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited: (Japon)
Inventeur(s)
  • Zhang, Du
  • Tsai, Yu-Hao
  • Yokoi, Masahiko
  • Kihara, Yoshihide
  • Wang, Mingmei

Abrégé

A method of processing a substrate that includes: flowing dioxygen (O2) and an adsorbate precursor into a plasma processing chamber that is configured to hold the substrate including an organic layer and a patterned etch mask; sustaining an oxygen-rich plasma while flowing the O2 and the adsorbate precursor, oxygen species from the O2 and the adsorbate precursor reacting under the oxygen-rich plasma to form an adsorbate; and exposing the substrate to the oxygen-rich plasma to form a recess in the organic layer, where the adsorbate forms a sidewall passivation layer in the recess.

Classes IPC  ?

58.

METHODS FOR FORMING HIGH PERFORMANCE 3D NANO SHEET DEVICES

      
Numéro d'application 17950870
Statut En instance
Date de dépôt 2022-09-22
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fulford, H. Jim
  • Gardner, Mark I.

Abrégé

Semiconductor devices and corresponding methods of manufacture are disclosed. The method includes forming a first stack over a substrate including first dielectric layers and second dielectric layers alternately stacked on top of one another. The method includes replacing first, second, and third portions of the first stack with first, second, and third dielectric structures, respectively. The method includes replacing the first dielectric structure with a second stack including first semiconductor layers and second semiconductor layers alternately stacked on top of one another. The method includes removing a portion of the second dielectric structure and a portion of the third dielectric structure. The method includes exposing sidewalls of each of the second semiconductor layers. The method includes forming a pair of first epitaxial structures and a pair of second epitaxial structures in contact with the exposed sidewalls of a lower one and an upper one of the second semiconductor layers, respectively.

Classes IPC  ?

  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/786 - Transistors à couche mince

59.

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

      
Numéro d'application 18538165
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Koshimizu, Chishio

Abrégé

The plasma processing apparatus includes a chamber body, a stage, a gas supply mechanism, a DC power supply, a radio-frequency power supply, and a controller. The gas supply is configured to supply a heat transfer gas to the upper surface of the electrostatic chuck. The controller is configured to control the DC power supply. The controller controls the DC power supply to apply, to the electrostatic chuck, a voltage derived by combining an output of a first function that outputs a smaller value as the absolute value of a self-bias voltage generated according to the plasma becomes larger and an output of a second function that outputs a larger value as the pressure of the heat transfer gas supplied to the upper surface of the electrostatic chuck by the gas supply increases.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

60.

PLASMA PROCESSING APPARATUS AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18374679
Statut En instance
Date de dépôt 2023-09-29
Date de la première publication 2024-04-04
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Isago, Masaru
  • Abe, Ryoya

Abrégé

There is a plasma processing apparatus comprising: a conductive chamber made of a first conductive material and connected to a ground potential; a plasma generator configured to generate a plasma in the conductive chamber; a plurality of conductive liners made of a second conductive material different from the first conductive material and arranged in a circumferential direction in the conductive chamber, each conductive liner having a first surface and a second surface opposite to the first surface, the first surface being in contact with a sidewall of the conductive chamber, the second surface being exposed to the plasma, a gap being formed between two adjacent conductive liners among the plurality of conductive liners; and a plurality of fixing mechanisms respectively corresponding to the plurality of conductive liners, each fixing mechanism being configured to fix a corresponding conductive liner to the sidewall of the conductive chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

61.

SUBSTRATE TRANSFER SYSTEM AND IMAGE CORRECTION METHOD

      
Numéro d'application 18372767
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Taoda, Isamu

Abrégé

A substrate transfer system includes: a transfer device provided with a substrate holder configured to hold a substrate; a lower line camera provided in a transfer path of the substrate to capture an image of a rear surface of the substrate which is being transferred and an image of the substrate holder; an upper line camera provided in the transfer path of the substrate to capture an image of a front surface of the substrate which is being transferred; and a controller that generates a rear-surface image based on the image captured by the lower line camera and generates a front-surface image based on the image captured by the upper line camera.

Classes IPC  ?

  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 7/00 - Analyse d'image
  • G06T 7/73 - Détermination de la position ou de l'orientation des objets ou des caméras utilisant des procédés basés sur les caractéristiques
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

62.

TEMPERATURE CONTROL METHOD

      
Numéro d'application 18532330
Statut En instance
Date de dépôt 2023-12-07
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Yamamoto, Takari
  • Masuda, Noriiki
  • Nakamura, Kenichiro
  • Koizumi, Hiroshi

Abrégé

A temperature control method of controlling a temperature of a semiconductor wafer mounted on a mounting table includes a supply process of supplying, in a state that a supply of a power to a heater configured to heat the mounting table is stopped or the power is maintained to be constant, a heat transfer gas into a gap between the semiconductor wafer and the mounting table; a measurement process of measuring a temperature variation of the mounting table due to heat exchange between the semiconductor wafer and the mounting table through the heat transfer gas; a calculation process of calculating a correction value based on the temperature variation of the mounting table; and a control process of starting the supply of the power and controlling the power such that the temperature of the mounting table reaches a target temperature corrected with the correction value.

Classes IPC  ?

  • G05B 19/404 - Commande numérique (CN), c.à d. machines fonctionnant automatiquement, en particulier machines-outils, p.ex. dans un milieu de fabrication industriel, afin d'effectuer un positionnement, un mouvement ou des actions coordonnées au moyen de données d'u caractérisée par des dispositions de commande pour la compensation, p.ex. pour le jeu, le dépassement, le décalage d'outil, l'usure d'outil, la température, les erreurs de construction de la machine, la charge, l'inertie
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

63.

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

      
Numéro d'application 18534224
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Takeuchi, Takahiro
  • Takahashi, Masahiko
  • Kobayashi, Ken

Abrégé

A first RF pulse signal includes a plurality of main cycles. Each main cycle includes first and second durations. The first duration includes a plurality of first sub cycles, and the second duration includes a plurality of second sub cycles. The first RF pulse signal has three or more different power levels in each of the plurality of first sub cycles and the plurality of second sub cycles. A second RF pulse signal including a plurality of main cycles. The second RF pulse signal has two or more different power levels in each of the plurality of first sub cycles and a zero power level in the second duration. A third RF pulse signal includes a plurality of main cycles. The third RF pulse signal has two or more different power levels in each of the plurality of first sub cycles and a zero power level in the second duration.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

64.

3D UFET DEVICES AND METHODS FOR MANUFACTURING THE SAME

      
Numéro d'application 17950866
Statut En instance
Date de dépôt 2022-09-22
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fulford, H. Jim
  • Gardner, Mark I.

Abrégé

Semiconductor devices and methods of manufacture are disclosed. The method includes forming a stack including a first pair of metal layers separated with a first dielectric layer and a second pair of metal layers separated with a second dielectric layer. The method includes separating the stack into a first portion of the first pair of metal layers and the first dielectric layer, a second portion of the first pair of metal layers and the first dielectric layer, a third portion of the second pair of metal layers and the second dielectric layer, and a fourth portion of the second pair of metal layers and the second dielectric layer. The method for fabricating semiconductor devices includes indenting, the first to fourth portions to form first to fourth recesses, respectively, and forming first to fourth transistors, respectively.

Classes IPC  ?

  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/40 - Electrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

65.

METHODS TO PROVIDE UNIFORM WET ETCHING OF MATERIAL WITHIN HIGH ASPECT RATIO FEATURES PROVIDED ON A PATTERNED SUBSTRATE

      
Numéro d'application 17952613
Statut En instance
Date de dépôt 2022-09-26
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hu, Shan
  • Zhang, Henan
  • Kumari, Sangita
  • Delia, Peter

Abrégé

Embodiments of a wet etch process and methods are disclosed herein to provide uniform wet etching of material within high aspect ratio features. In the present disclosure, a wet etch process is used to etch material within high aspect ratio features, such as deep trenches and holes, provided on a patterned substrate. Uniform wet etching is provided in the present disclosure by ensuring that wall surfaces of the material being etched (or wall surfaces adjacent to the material being etched) exhibit a neutral surface charge when exposed to the etch solution used to etch the material.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

66.

SUBSTRATE PROCESSING SYSTEM AND MAINTENANCE METHOD

      
Numéro d'application 18518870
Statut En instance
Date de dépôt 2023-11-24
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Dokan, Takashi

Abrégé

A substrate processing system comprises a substrate processing apparatus, a vacuum transport chamber, a transport mechanism, a suction mechanism and a controller. The substrate processing apparatus includes a vacuum processing chamber configured to perform processing of a substrate. The vacuum transport chamber is connected to the vacuum processing chamber and includes a transport port communicating with the vacuum processing chamber. The transport mechanism is disposed inside the vacuum transport chamber and configured to transport at least the substrate via the transport port. The suction mechanism is disposed inside the vacuum transport chamber and configured to suck an adhered object of a part inside the vacuum processing chamber via the transport port. The controller is configured to control the transport mechanism and the suction mechanism.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

67.

FILM FORMING METHOD AND SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application 18470878
Statut En instance
Date de dépôt 2023-09-20
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Takeyasu, Issei
  • Ishizaka, Tadahiro

Abrégé

A film forming method includes: preparing, on a stage, a substrate having an insulating layer in which a recess defined by an upper portion, a side wall portion, and a bottom portion is formed, and a tungsten layer exposed from the bottom portion of the recess; removing a tungsten oxide film, which has been formed by oxidizing the tungsten layer at the bottom portion, by supplying TiCl4 gas to at least the bottom portion of the recess; and embedding a ruthenium film in the recess after removing the tungsten oxide film.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique
  • C23C 16/40 - Oxydes
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

68.

PLASMA PROCESSING APPARATUS AND COIL HOLDER FOR HOLDING PLASMA EXCITATION ANTENNA

      
Numéro d'application 18475502
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Ozawa, Masato
  • Ahn, Chanseong
  • Tsunoda, Masaki

Abrégé

A plasma processing apparatus includes: a processing container; a stage arranged inside the processing container to place a substrate to be processed on the stage; a plasma excitation antenna arranged above the processing container; a coil holder for holding the plasma excitation antenna; and a radio-frequency power supply for supplying radio-frequency power to the plasma excitation antenna. The coil holder includes a plurality of beam-like members arranged radially to protrude outward from a center of the coil holder, and a clamp-like member attached to each of the plurality of beam-like members and suspended downward from each of the plurality of beam-like members. The clamp-like member has an upper end supported by each beam-like member with a screw member and is configured to move in a pendulum manner, and a gripper configured to grip and hold the plasma excitation antenna is formed in a lower end of the clamp-like member.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

69.

SUBSTRATE PROCESSING APPARATUS AND HOLDING METHOD OF SUBSTRATE

      
Numéro d'application 18475593
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-03-28
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Omori, Yosuke
  • Kohama, Norifumi

Abrégé

A substrate processing apparatus includes a holder configured to hold a substrate by attracting the substrate on an attraction surface. The attraction surface includes an outer attraction portion configured to attract an outer peripheral portion of the substrate and an inner attraction portion configured to attract a portion of the substrate at an inner side than the outer peripheral portion. The holder includes a transforming unit configured to transform the outer attraction portion relative to the inner attraction portion.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

70.

LIQUID RAW MATERIAL SUPPLYING METHOD AND GAS SUPPLY APPARATUS

      
Numéro d'application 18244532
Statut En instance
Date de dépôt 2023-09-11
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Dewa, Hiroaki
  • Mizunaga, Satoshi
  • Ito, Naohide

Abrégé

Provided is a method of supplying a liquid raw material to a gas supply device. The gas supply device includes: a storage tank that stores the liquid raw material; a heating unit that heats the liquid raw material to generate a raw material gas; a level detecting unit that detects a liquid surface level of the liquid raw material stored in the storage tank; a gas inlet and a gas outlet provided in the storage tank; and a raw material inlet provided in the storage tank. The method includes: determining whether the liquid surface level of the liquid raw material is equal to or lower than a supply target level; and repeating supply of a specified amount of liquid raw material to the storage tank a specified number of times when the liquid surface level of the liquid raw material is equal to or lower than the supply target level.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

71.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18255407
Statut En instance
Date de dépôt 2021-12-01
Date de la première publication 2024-03-21
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Furuya, Yuichi
  • Hara, Masamichi

Abrégé

A substrate processing apparatus according to an aspect of the present disclosure is an apparatus that deposits a film on a substrate disposed in a processing chamber, and includes a process gas supply configured to supply, into the processing chamber, a process gas including a source gas and a carrier gas that carries the source gas, a vacuum pump configured to exhaust an interior of the processing chamber, and a purge gas supply configured to supply a purge gas into the vacuum pump. The purge gas includes a first gas that is identical to the carrier gas.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/16 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de métaux carbonyles
  • C23C 16/52 - Commande ou régulation du processus de dépôt

72.

SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18367742
Statut En instance
Date de dépôt 2023-09-13
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fukui, Shogo
  • Yamashita, Masami
  • Emura, Tomofumi

Abrégé

A substrate processing apparatus of processing a substrate by using a processing fluid in a supercritical state, the substrate processing apparatus includes: a processing container in which the substrate is accommodated; a supply line connecting the processing container to a fluid source configured to send out the processing fluid in the supercritical state; a discharge line configured to discharge the processing fluid from the processing container; a control valve interposed in the discharge line; and a controller configured to control a pressure in the processing container by adjusting an opening degree of the control valve. In a circulation process in which the processing fluid is supplied to the processing container from the supply line, the controller is configured to adjust the opening degree of the control valve such that each of a pressure-lowering step and a pressure-raising step.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

73.

BONDING APPARATUS AND BONDING METHOD

      
Numéro d'application 18467071
Statut En instance
Date de dépôt 2023-09-14
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fukushima, Hideyuki
  • Choi, Manju

Abrégé

A bonding apparatus includes a first holder, a second holder, an attracting pressure generator, a pushing member and a controller. The controller attracts a second substrate with a beginning attracting pressure distribution set on multiple regions, when a pressurization of a first substrate by the pushing member is begun. The controller performs a control of performing a switchover from the beginning attracting pressure distribution to a progress attracting pressure distribution between a time point when the pressurization by the pushing member is begun and a contact end point at which an entire bonding surface of the first substrate and an entire bonding surface of the second substrate come into contact with each other. The progress attracting pressure distribution is created by changing at least one attracting pressure of attracting pressures on the multiple regions in the beginning attracting pressure distribution.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • B32B 37/00 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons
  • B32B 37/10 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par la technique de pressage, p.ex. faisant usage de l'action directe du vide ou d'un fluide sous pression
  • B32B 41/00 - Dispositions pour le contrôle ou la commande des procédés de stratification; Dispositions de sécurité

74.

ETCHING CONTROL SYSTEM AND ETCHING CONTROL METHOD

      
Numéro d'application 18468928
Statut En instance
Date de dépôt 2023-09-18
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Tsuruda, Toyohisa
  • Marumoto, Hiroshi
  • Lee, Suguen
  • Enomoto, Masashi

Abrégé

An etching control system includes a prediction device and an etching control device. The prediction device includes an updating unit configured to update, to optimize a model indicating a relationship between distribution of an etching amount within a surface of a substrate and a process parameter, which is a parameter of controlling operations of multiple nozzles configured to etch the substrate, a parameter of the model; a calculator configured to calculate the process parameter corresponding to distribution of a designated etching amount by using the model whose parameter has been updated by the updating unit; and a provider configured to provide the process parameter calculated by the calculator. The etching control device includes an acquisition unit configured to acquire the process parameter; and an operation controller configured to control the operations of the multiple nozzles by using the process parameter acquired by the acquisition unit.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

75.

ETCHING CONTROL DEVICE, ETCHING CONTROL METHOD, AND ETCHING CONTROL SYSTEM

      
Numéro d'application 18469673
Statut En instance
Date de dépôt 2023-09-19
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Tsuruda, Toyohisa
  • Marumoto, Hiroshi
  • Lee, Suguen
  • Enomoto, Masashi

Abrégé

An etching control device includes an updating unit configured to update, to optimize a model indicating a relationship between distribution of an etching amount within a surface of a substrate and a process parameter, which is a parameter of controlling operations of multiple nozzles configured to etch the substrate, a parameter of the model; a calculator configured to calculate the process parameter corresponding to distribution of a designated etching amount by using the model whose parameter has been updated by the updating unit; and an operation controller configured to control the operations of the multiple nozzles by using the process parameter.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G06N 20/00 - Apprentissage automatique

76.

FILM DEPOSITION APPARATUS FOR FINE PATTERN FORMING

      
Numéro d'application 18524767
Statut En instance
Date de dépôt 2023-11-30
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hasebe, Kazuhide
  • Nakajima, Shigeru
  • Ogawa, Jun
  • Murakami, Hiroki

Abrégé

In a mask pattern forming method, a resist film is formed over a thin film, the resist film is processed into resist patterns having a predetermined pitch by photolithography, slimming of the resist patterns is performed, and an oxide film is formed on the thin film and the resist patterns after an end of the slimming step in a film deposition apparatus by supplying a source gas and an oxygen radical or an oxygen-containing gas. In the mask pattern forming method, the slimming and the oxide film forming are continuously performed in the film deposition apparatus.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/40 - Oxydes
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

77.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18526350
Statut En instance
Date de dépôt 2023-12-01
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Mizunaga, Kouichi
  • Takei, Toshichika

Abrégé

A heat treatment unit U2 includes a heat plate 20 configured to place a wafer W thereon and heat the wafer W placed thereon; multiple gap members 22 formed along a front surface 20a of the heat plate 20 on which the wafer W is placed, and configured to support the wafer W to secure a clearance V between the heat plate 20 and the wafer W; a suction unit 70 configured to suck the wafer W toward the heat plate 20; and an elevating pin 51 configured to penetrate the heat plate 20 and configured to be moved up and down to move the wafer W placed on the heat plate 20 up and down. The front surface 20a of the heat plate 20 has a concave region 20d inclined downwards from an outer side toward an inner side thereof.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

78.

HIGH PERFORMANCE 3D COMPACT TRANSISTOR ARCHITECTURE

      
Numéro d'application 17945888
Statut En instance
Date de dépôt 2022-09-15
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Fulford, H. Jim
  • Gardner, Mark I.

Abrégé

Semiconductor devices and corresponding methods of manufacturing the same are disclosed. For example, a plurality of first semiconductor channels vertically spaced from one another and a plurality of second semiconductor channels vertically spaced from one another, wherein different materials are simultaneously epitaxial-grown for the first semiconductor channels and the second semiconductor channels, can be provided. The plurality of first semiconductor channels each have a first sidewall in contact with a dielectric structure and the plurality of second semiconductor channels each have a first sidewall in contact with the dielectric structure. Gate structures can be formed around at least a top surface, a bottom surface, and a second sidewall of the first and second semiconductor channels.

Classes IPC  ?

  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique

79.

SURFACE MODIFICATION TO ACHIEVE SELECTIVE ISOTROPIC ETCH

      
Numéro d'application 17945897
Statut En instance
Date de dépôt 2022-09-15
Date de la première publication 2024-03-21
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Hollin, Jonathan
  • Flaugh, Matthew
  • Kal, Subhadeep
  • Mosden, Aelan

Abrégé

A surface of a substrate is modified, where the substrate includes at least two different layers or films of different materials. The modified layer is then selectively converted to a protection layer on one of the layers, while the other layer is etched.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

80.

METHODS TO PREVENT SURFACE CHARGE INDUCED CD-DEPENDENT ETCHING OF MATERIAL FORMED WITHIN FEATURES ON A PATTERNED SUBSTRATE

      
Numéro d'application 17946609
Statut En instance
Date de dépôt 2022-09-16
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hu, Shan
  • Zhang, Henan
  • Kumari, Sangita
  • Delia, Peter
  • Clark, Robert

Abrégé

Embodiments of a wet etch process and methods are disclosed herein to provide uniform wet etching of material formed within features (e.g., trenches, holes, slits, etc.), and on more planar areas of a patterned substrate, when a critical dimension (CD) of the features is relatively small compared to the more planar areas of the patterned substrate. In the present disclosure, uniform wet etching is provided by ensuring that wall surfaces adjacent to the material being etched exhibit a neutral surface charge when exposed to the etch solution used to etch the material.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

81.

High Aspect Ratio Contact (HARC) Etch

      
Numéro d'application 17948768
Statut En instance
Date de dépôt 2022-09-20
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Luan, Pingshan
  • Ocana, Matthew
  • Metz, Andrew

Abrégé

A method of processing a substrate that includes: flowing nitrogen-containing (N-containing) gas, dioxygen (O2), a noble gas, and a fluorocarbon into the plasma processing chamber, the plasma processing chamber configured to hold a substrate including a dielectric layer as etch target and a patterned hardmask over the target layer; while flowing the gases, generating a fluorine-rich and nitrogen-deficient plasma in the plasma processing chamber, fluorine-rich and nitrogen-deficient plasma being made of more number of fluorine species than nitrogen species; and forming a high aspect ratio feature by exposing the substrate to the fluorine-rich and nitrogen-deficient plasma to etch a recess in the dielectric layer.

Classes IPC  ?

82.

Method and Apparatus for In-Situ Dry Development

      
Numéro d'application 17950001
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Grzeskowiak, Steven
  • Liu, Eric Chih-Fang

Abrégé

An embodiment etching tool includes an etch chamber for plasma etching a first wafer to be processed; a transfer chamber coupled to the etch chamber; a first run path between the transfer chamber and the etch chamber, the first run path including a path for moving the first wafer to be processed from the transfer chamber to the etch chamber, where the etching tool is configured to dry develop the first wafer to be processed before etching a hard mask on the first wafer in the etch chamber.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

83.

Substrate Bombardment with Ions having Targeted Mass using Pulsed Bias Phase Control

      
Numéro d'application 17945408
Statut En instance
Date de dépôt 2022-09-15
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Voronin, Sergey
  • Wang, Qi
  • Hajibabaeinajafabadi, Hamed

Abrégé

A method of plasma processing includes generating plasma by coupling a source power pulse to a plasma processing chamber containing a substrate holder configured to support a substrate. The plasma includes first ions having a first mass and second ions having a second mass greater than the first mass. The ion density ratio of the second ions to the first ions is a first ratio. The method further includes delivering an energetic ion flux of second ions to the substrate by applying a delayed bias power pulse to the substrate holder after a delay between the source power pulse and the delayed bias power pulse. The delay is chosen based on the diffusion time constants of the first ions and the second ions so that the ion density ratio of the second ions to the first ions is a second ratio that is greater than the first ratio.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

84.

Optical Emission Spectroscopy for Advanced Process Characterization

      
Numéro d'application 17948407
Statut En instance
Date de dépôt 2022-09-20
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Voronin, Sergey
  • Messer, Blaze
  • Chen, Yan
  • Ng, Joel
  • Shalini, Ashawaraya
  • Zhu, Ying
  • Song, Da

Abrégé

A method of characterizing a plasma in a plasma processing system that includes: generating a pulsed plasma in a plasma processing chamber of the plasma processing system, the pulsed plasma being powered with a pulsed power signal, each pulse of the pulsed plasma including three periods: a overshoot period, a stable-ON period, and a decay period; performing cyclic optical emission spectroscopy (OES) measurements for the pulsed plasma, the cyclic OES measurements including: obtaining first OES data during one of the three periods from more than one pulses of the pulsed plasma; and obtaining a characteristic of the pulsed plasma for the one of the three periods based only on the first OES data.

Classes IPC  ?

85.

PLASMA MONITORING SYSTEM, PLASMA MONITORING METHOD, AND MONITORING DEVICE

      
Numéro d'application 18515220
Statut En instance
Date de dépôt 2023-11-20
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Teruuchi, Satoru
  • Hirose, Jun
  • Nagaseki, Kazuya
  • Himori, Shinji

Abrégé

A plasma monitoring system includes a monitoring device and a control device. The monitoring device is a device to be placed on a stage in the plasma processing apparatus. The monitoring device includes a plate-shaped base substrate, and a plurality of spectroscopes having optical axes facing upward on the base substrate, and being disposed apart from each other to acquire light emission intensities of the plasma. The control device acquires light emission intensity distribution data of the plasma in the plasma processing apparatus based on the light emission intensity acquired by each of the plurality of spectroscopes.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • G01N 21/68 - Systèmes dans lesquels le matériau analysé est excité de façon à ce qu'il émette de la lumière ou qu'il produise un changement de la longueur d'onde de la lumière incidente excité électriquement, p.ex. par électroluminescence en utilisant des champs électriques à haute fréquence

86.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18519519
Statut En instance
Date de dépôt 2023-11-27
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Kamimura, Fumihiro
  • Kasahara, Masatoshi
  • Minami, Teruomi
  • Sunaka, Ikuo

Abrégé

A substrate processing method includes: increasing a temperature of a substrate by heating the substrate; after the increasing the temperature of the substrate, forming a liquid film of a pre-wetting liquid on a first surface of the substrate by supplying the pre-wetting liquid to the first surface of the substrate while heating and rotating the substrate at a first rotational speed; after the forming the liquid film, processing the first surface of the substrate with a chemical liquid by supplying the chemical liquid to the first surface of the substrate while heating and rotating the substrate at a second rotational speed that is lower than the second rotational speed; and after the processing the first surface of the substrate, decreasing the temperature of the substrate.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • B08B 3/04 - Nettoyage impliquant le contact avec un liquide
  • B08B 7/00 - Nettoyage par des procédés non prévus dans une seule autre sous-classe ou un seul groupe de la présente sous-classe
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

87.

SUBSTRATE TREATMENT APPARATUS AND TREATMENT SOLUTION SUPPLY METHOD

      
Numéro d'application 18465420
Statut En instance
Date de dépôt 2023-09-12
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Ogata, Makoto
  • Hashimoto, Katsuya
  • Ichino, Katsunori
  • Tanaka, Masataka
  • Kudo, Kazuya

Abrégé

A substrate treatment apparatus includes: a plurality of solution treatment modules stacked at multiple stages, each configured to perform a treatment using a treatment solution on a substrate; and a solution supply unit configured to supply the treatment solution to the plurality of solution treatment modules, wherein: the solution supply unit includes supply pipelines provided with a solution feeder corresponding to the solution treatment modules; and the solution feeder includes a pump configured to pressure-feed the treatment solution to the corresponding solution treatment module and a filter configured to filtrate the treatment solution, and is arranged adjacent to the corresponding solution treatment module in a horizontal direction.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

88.

ETCHING CONTROL SYSTEM AND ETCHING CONTROL METHOD

      
Numéro d'application 18468966
Statut En instance
Date de dépôt 2023-09-18
Date de la première publication 2024-03-21
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Tsuruda, Toyohisa
  • Marumoto, Hiroshi
  • Lee, Suguen
  • Enomoto, Masashi

Abrégé

An etching control system includes a prediction device and an etching control device. The prediction device includes a calculator configured to calculate, by using a model indicating a relationship between distribution of an etching amount within a surface of a substrate and a process parameter, which is a parameter of controlling operations of multiple nozzles configured to etch the substrate, the process parameter corresponding to distribution of a designated etching amount. The etching control device includes an updating unit configured to update a process recipe, which is information including a discharge time, a discharge position, and a moving speed of each of the multiple nozzles, based on the process parameter; and an operation controller configured to control the operations of the multiple nozzles according to the process recipe updated by the updating unit.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

89.

PLASMA PROCESSING APPARATUS

      
Numéro d'application 18241468
Statut En instance
Date de dépôt 2023-09-01
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Hirayama, Masaki

Abrégé

A plasma processing apparatus includes: a chamber providing a processing space; a substrate support provided inside the processing space; a first electrode provided above the processing space; a second electrode provided above the processing space and below the first electrode, the second electrode providing a plasma generation space between the first electrode and the second electrode and providing a plurality of through-holes to guide active species generated in the plasma generation space into the processing space; an introducer made of a dielectric material and configured to introduce electromagnetic waves into the plasma generation space; and a resonator including a waveguide for propagation of the electromagnetic waves to the introducer, wherein the waveguide has a length longer than ½ of a wavelength of the electromagnetic waves in the waveguide.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

90.

INFORMATION PROCESSING APPARATUS AND PARAMETER CONTROL METHOD

      
Numéro d'application 18243059
Statut En instance
Date de dépôt 2023-09-06
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Kang, Youngtai
  • Takenaga, Yuichi
  • Ito, Kiwamu
  • Ikeda, Rui
  • Okoshi, Ken

Abrégé

An information processing apparatus includes a data acquisition unit, a simulation execution unit, and an optimization unit. The data acquisition unit acquires execution result data including an execution result of the substrate processing based on a process parameter including a pressure in the substrate processing apparatus and including sensor data of the pressure in the substrate processing apparatus. The simulation execution unit inputs the execution result data into a simulation model pre-stored in a storage to calculate a pressure in the substrate processing apparatus that is predicted to approach a target value for a substrate processing result. The optimization unit calculates a predicted value of the substrate processing result based on the process parameter including the calculated pressure.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

91.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18262201
Statut En instance
Date de dépôt 2022-01-11
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hayakawa, Susumu
  • Yamashita, Yohei
  • Mizomoto, Yasutaka

Abrégé

A substrate processing method includes (A) to (C) to be described below. (A) A substrate having a first main surface and a second main surface opposite to the first main surface, and having unevenness on each of the first main surface and the second main surface is prepared. (B) Based on a measurement result of the unevenness of a first surface between the first main surface and the second main surface of the substrate, the first surface is planarized by radiating a laser beam to the first surface. (C) After planarizing the first surface of the substrate, a second surface of the substrate opposite to the first surface is planarized by grinding the second surface.

Classes IPC  ?

  • B23K 26/352 - Travail par rayon laser, p.ex. soudage, découpage ou perçage  pour le traitement de surface
  • B23K 26/082 - Systèmes de balayage, c. à d. des dispositifs comportant un mouvement relatif entre le faisceau laser et la tête du laser
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

92.

METHOD FOR FORMING SILICON-CONTAINING FILM AND FILM FORMING APPARATUS

      
Numéro d'application 18271898
Statut En instance
Date de dépôt 2022-01-11
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Matsuki, Nobuo
  • Morisada, Yoshinori
  • Oba, Daisuke

Abrégé

A method for forming a silicon-containing film in a recess formed on a surface of a substrate, the method includes: (a) forming a flowable film in the recess by exposing the substrate, which is adjusted to a first temperature, to plasma generated from a processing gas including a halogen-containing silane: and (b) curing the flowable film by thermally processing the substrate at a second temperature higher than the first temperature.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

93.

METHOD OF FORMING SILICON NITRIDE FILM AND FILM FORMING APPARATUS

      
Numéro d'application 18272938
Statut En instance
Date de dépôt 2022-01-11
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Kagaya, Munehito
  • Otsuki, Yuji
  • Suzuki, Yusuke

Abrégé

A method of forming a silicon nitride film according to an aspect of the present disclosure forms a silicon nitride film in a recess formed in a surface of a substrate. The method includes a process of forming an adsorption-inhibiting region by exposing the substrate to plasma generated from an adsorption-inhibiting gas that contains a halogen gas and a non-halogen gas, a process of adsorbing a silicon-containing gas in a region other than the adsorption-inhibiting region, and a process of forming a silicon nitride film by exposing the substrate, on which the silicon-containing gas has been adsorbed, to plasma generated from a nitrogen-containing gas.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/34 - Nitrures
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/56 - Post-traitement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

94.

PLASMA PROCESSING APPARATUS, CALCULATION METHOD, AND CALCULATION PROGRAM

      
Numéro d'application 18510680
Statut En instance
Date de dépôt 2023-11-16
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s) Oka, Shinsuke

Abrégé

In a plasma processing apparatus, a mounting table includes a heater for adjusting a temperature of a mounting surface mounting thereon a consumable part consumed by plasma processing. A heater control unit controls a supply power to the heater such that the heater reaches a setting temperature. A measurement unit measures, while controlling the supply power to the heater such that the temperature of the heater becomes constant, the supply powers in a non-ignition state where plasma is not ignited and in a transient state where the supply power is decreased after the plasma is ignited. A parameter calculation unit calculates a thickness of the consumable part by performing fitting with a calculation model, which has the thickness of the consumable part as a parameter and calculates the supply power in the transient state, by using the measured supply powers in the non-ignition state and in the transient state.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

95.

SUBSTRATE PROCESSING CONTROL METHOD, SUBSTRATE PROCESSING APPARATUS AND STORAGE MEDIUM

      
Numéro d'application 18511594
Statut En instance
Date de dépôt 2023-11-16
Date de la première publication 2024-03-14
Propriétaire TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Tsuruda, Toyohisa
  • Konishi, Yoshitaka

Abrégé

A substrate processing control method in a substrate processing apparatus, includes: acquiring a data set for each substrate sequentially subjected to first and second processes at first and second levels, the data set including information specifying the first level at which the first process has been performed, information specifying the second level at which the second process has been performed and information about a characteristic amount relating to characteristics of the substrate; calculating information including an expected value of the characteristic amount, and level deviations of the first and second levels to the expected value based on the data set, and correcting the first parameter at the first level or the second parameter at the second level based on the calculated information.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement

96.

PLASMA PROCESSING APPARATUS AND RF SYSTEM

      
Numéro d'application 18512566
Statut En instance
Date de dépôt 2023-11-17
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Wada, Toshiharu
  • Chen, Weifan
  • Wang, Tangkuei

Abrégé

A plasma processing apparatus includes: a chamber; a substrate support including a lower electrode; an upper electrode disposed above the substrate support; a first RF power supply that is electrically connected to the upper electrode and generates a first RF signal, in which the first RF signal has a first power level during a first state within a repeating period and a zero power level during second to fourth states within the repeating period; a second RF power supply that is electrically connected to the lower electrode and generates a second RF signal, in which the second RF signal has a zero power level during the first and second states, a second power level during the third state, and a third power level during the fourth state; and a DC power supply that is electrically connected to the upper electrode and generates a DC signal.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

97.

SOLUTION TREATMENT APPARATUS AND CLEANING METHOD

      
Numéro d'application 18515667
Statut En instance
Date de dépôt 2023-11-21
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Shibasaki, Kenta
  • Inada, Hiroichi
  • Shimmura, Satoshi
  • Takayanagi, Koji
  • Yada, Kenji
  • Seki, Shinichi
  • Teramoto, Akihiro

Abrégé

A cleaning method of cleaning a solution treatment apparatus for applying a coating solution onto a substrate, the solution treatment apparatus including a holder holding and rotating the substrate; a coating solution supplier; and an inner cup surrounding the holder from a lateral side and having a peripheral edge side upper surface inclining down outward in a radial direction. The cleaning method includes introducing the cleaning solution to the storage chamber via the introduction hole, discharging the cleaning solution from the discharge port and making the cleaning solution flow down along the peripheral edge side upper surface of the inner cup, thereby cleaning away the coating solution adhering to the peripheral edge side upper surface. The discharging in the cleaning discharges the cleaning solution from discharge ports of the inner cup outward in the radial direction and obliquely upward.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • B05C 11/02 - Appareils pour étaler ou répartir des liquides ou d'autres matériaux fluides déjà appliqués sur une surface; Réglage de l'épaisseur du revêtement
  • B08B 3/08 - Nettoyage impliquant le contact avec un liquide le liquide ayant un effet chimique ou dissolvant
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet

98.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 17907217
Statut En instance
Date de dépôt 2021-03-02
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Tanoue, Hayato
  • Yamashita, Yohei

Abrégé

A processing method of a combined substrate in which a first substrate and a second substrate are bonded to each other is provided. A laser absorption layer is formed on the second substrate. The substrate processing method includes forming a separation modification layer by radiating a laser beam to the laser absorption layer in a pulse shape to accumulate a stress in the laser absorption layer; and separating the second substrate by releasing the accumulated stress in a chain manner.

Classes IPC  ?

  • H01L 21/268 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée les radiations étant électromagnétiques, p.ex. des rayons laser
  • H01L 21/447 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes impliquant l'application d'une pression, p.ex. soudage par thermo-compression
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

99.

Method for Processing a Substrate

      
Numéro d'application 17931838
Statut En instance
Date de dépôt 2022-09-13
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Liu, Eric Chih-Fang
  • Chang, Shihsheng
  • Yu, Kai-Hung
  • Han, Yun

Abrégé

A method of patterning a substrate includes forming a first line, a second line, and a third line over the substrate, the first line, the second line, and the third line being parallel in a plan view, and forming a fourth line and a fifth line over the first line, the second line, and the third line, the fourth line and the fifth line being orthogonal to the first line in the plan view. The method further includes etching a hole through the second line using the first line, the third line, the fourth line, and the fifth line as an etching mask, and filling the hole with a dielectric material to form a block.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

100.

WET ETCH PROCESS AND METHOD TO PROVIDE UNIFORM ETCHING OF MATERIAL FORMED WITHIN FEATURES HAVING DIFFERENT CRITICAL DIMENSION (CD)

      
Numéro d'application 17942359
Statut En instance
Date de dépôt 2022-09-12
Date de la première publication 2024-03-14
Propriétaire Tokyo Electron Limited (Japon)
Inventeur(s)
  • Hu, Shan
  • Zhang, Henan
  • Kumari, Sangita
  • Delia, Peter

Abrégé

Embodiments of a wet etch process and method are disclosed to provide uniform etching of material formed within features (such as, e.g., trenches, holes, slits, etc.) having different critical dimension (CD). By combining a non-aqueous organic-based etch solution and an aqueous-based etch solution (either in series or in parallel) within a wet etch process, the disclosed embodiments utilize the opposing effects of CD-dependent etching to provide uniform etching of the material, regardless of CD.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  1     2     3     ...     73        Prochaine page