Brewer Science Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-83 de 83 pour Brewer Science Inc. Trier par
Recheche Texte
Brevet
International - WIPO
Affiner par Reset Report
Date
2024 janvier 1
2024 (AACJ) 1
2023 3
2022 5
2021 6
Voir plus
Classe IPC
H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou 20
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 9
G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage 8
G03F 7/004 - Matériaux photosensibles 6
G03F 7/20 - Exposition; Appareillages à cet effet 5
Voir plus
Résultats pour  brevets

1.

DIFFERENTIAL CURRENT LIMITING FOR VOLTAMMETRY SENSOR LIFETIME EXTENSION

      
Numéro d'application US2022035897
Numéro de publication 2024/005837
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2024-01-04
Propriétaire
  • BREWER SCIENCE, INC. (USA)
  • DEPARTMENT OF THE ARMY (USA)
Inventeur(s) Fury, Jonathan J.

Abrégé

In one embodiment, a voltammetry sensor measurement system includes one or more potentiostats configured to transmit an electrical input to a working electrode of a voltammetry sensor and to measure an electrical output from the voltammetry sensor in response to the electrical input, the electrical input including a square wave electrical input, the measured electrical output including a differential current through the working electrode. A controller is coupled with the potentiostats to monitor, in real time, the differential current through the working electrode. The controller is configured to determine if the monitored differential current will exceed a preset differential current threshold of the voltammetry sensor, using a predictive algorithm based on the monitored differential current, before the differential current reaches the threshold; and to generate a signal when the monitored differential current is determined to exceed the threshold, to preserve the voltammetry sensor before the differential current reaches the threshold.

Classes IPC  ?

  • G01N 27/48 - Systèmes utilisant la polarographie, c. à d. la mesure des variations d'intensité sous une tension qui varie lentement
  • G01N 27/416 - Systèmes
  • G01N 27/30 - Composants de cellules électrolytiques Électrodes, p.ex. électrodes pour tests; Demi-cellules
  • G06Q 50/10 - Services
  • G08B 21/18 - Alarmes de situation
  • G08C 17/02 - Dispositions pour transmettre des signaux caractérisées par l'utilisation d'une voie électrique sans fil utilisant une voie radio

2.

ARSENIC DETECTOR AND METHOD OF USE

      
Numéro d'application US2022036003
Numéro de publication 2023/278866
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2023-01-05
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Cao, Xi
  • Landorf, Christopher
  • Fury, Jonathan J.
  • Simmons, Cody
  • Bowen, Weston

Abrégé

Composites comprising metal-oxide-functionalized carbon nanotubes with metal nanoparticles deposited thereon are provided. These composites can be used as a working electrode in an electrochemical sensor to detect arsenite in aqueous solutions. The composite can electrochemically reduce As3+to As0due to increasing adsorption capability. In one embodiment, Au nanoparticles are deposited on the TiOx/CNT electrode to facilitate the adsorption of As3+ on the electrode surface for further electrochemical reduction process. Square wave voltammetry (SWV) is performed to detect the electrochemical reduction of arsenite in water.

Classes IPC  ?

3.

ELECTROCHEMICAL SENSORS FOR ANALYTE DETECTION IN WATER AND REFERENCE CORRECTION METHOD

      
Numéro d'application US2022036019
Numéro de publication 2023/278877
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2023-01-05
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Cao, Xi
  • Peters, Austin
  • Lakin, Chad
  • Simmons, Cody
  • Neeson, Alec

Abrégé

A sensing platform for continuous water resource monitoring by electrochemical detection and solution parameter correction is provided. The sensing platform employs a solid-state electrolyte three-electrode cell, creating a high ionic strength environment within the solid-state electrolyte membrane, which is in ion exchange equilibria with the sampled solution. This device may be used as a standalone sensor in environments where the water parameters (pH temperature, and ionic strength) are controlled, or in concert with compensation sensors where water parameters are not controlled.

Classes IPC  ?

4.

IS-FET NITRATE SENSOR AND METHOD OF USE

      
Numéro d'application US2022036035
Numéro de publication 2023/278882
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2023-01-05
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Cao, Xi
  • Landorf, Christopher
  • Chen, Zun
  • Neeson, Alec
  • Bowen, Weston
  • Peters, Austin

Abrégé

A carbon nanotube (CNT) ion-selective field effect transistor (IS-FET) integrated device is used to detect nitrate ion in water. The device is operated as an IS-FET sensor, holding the measured potential between the drain electrode and an external reference electrode constant with a potentiometric circuit. Transduction occurs by changes in the effective CNT film gate potential with changes in the phase boundary potential of an ion-selective membrane (ISM) film. Moreover, the nitrate ISM film makes the device highly selective towards nitrate sensing. This printable IS- FET nitrate sensor enables real-time and high-resolution measurements and recording of nitrate ion in water at low cost.

Classes IPC  ?

  • G01N 27/414 - Transistors à effet de champ sensibles aux ions ou chimiques, c. à d. ISFETS ou CHEMFETS
  • G01N 27/30 - Composants de cellules électrolytiques Électrodes, p.ex. électrodes pour tests; Demi-cellules
  • G01N 27/333 - Electrodes ou membranes sélectives à l'égard des ions
  • G01N 33/18 - Eau

5.

TEMPORARY BONDING AND DEBONDING PROCESS TO PREVENT DEFORMATION OF METAL CONNECTION IN THERMOCOMPRESSION BONDING

      
Numéro d'application US2022016459
Numéro de publication 2022/174191
Statut Délivré - en vigueur
Date de dépôt 2022-02-15
Date de publication 2022-08-18
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Lee, Chia-Hsin
  • Guerrero, Alice
  • Southard, Arthur O.
  • Wu, Chen-Yu
  • Liu, Xiao

Abrégé

Achieving homogeneous and heterogeneous integration for 2.5D and 3D integrated circuit, chip-to-wafer, chip-to-substrate, or wafer-to-wafer bonding is an essential technology. The landing wafer or substrate is bonded with a carrier by using a temporary bonding material before thinning the landing wafer to the desired thickness. Upon completion of redistribution layer formation, Cu pad formation, or other backside processing, dies or wafers with through-silicon vias are stacked onto the landing substrate before molding and singulation. As the landing wafer usually has interconnection metals in the bond line, and those interconnection metals are typically made from lead-free solder alloys, deformation of those solder alloys during thermocompression bonding becomes an issue for manufacturers. To address this issue, a polymeric material with desired strengths is coated on the device wafer to form a conformal protective layer on top of solder alloys, thus enabling temporary bonding and debonding processes.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

6.

CHEMICALLY HOMOGENEOUS SILICON HARDMASKS FOR LITHOGRAPHY

      
Numéro d'application US2021064982
Numéro de publication 2022/140621
Statut Délivré - en vigueur
Date de dépôt 2021-12-22
Date de publication 2022-06-30
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Chacko, Reuben T.
  • Ouattara, Tantiboro
  • Chacko, Andrea M.
  • Liang, Yichen
  • Brakensiek, Kelsey

Abrégé

Silicon hardmasks with a single-component polymer are disclosed. These hardmasks provide high optical homogeneity and high chemical homogeneity, thus minimizing or avoiding negative stochastic effects on feature critical dimension. The hardmasks further provide low porosity, higher density, and high silicon content and improve performance factors such as LER/LWR, defectivity, uniformity, and DoF.

Classes IPC  ?

  • G03F 7/075 - Composés contenant du silicium
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08G 77/50 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant du silicium, avec ou sans soufre, azote, oxygène ou carbone dans lesquels au moins deux atomes de silicium, mais pas la totalité, sont liés autrement que par des atomes d'oxygène par des liaisons au carbone
  • C08G 77/52 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant du silicium, avec ou sans soufre, azote, oxygène ou carbone dans lesquels au moins deux atomes de silicium, mais pas la totalité, sont liés autrement que par des atomes d'oxygène par des liaisons au carbone contenant des cycles aromatiques
  • C08G 77/26 - Polysiloxanes contenant du silicium lié à des groupes organiques contenant des atomes autres que le carbone, l'hydrogène et l'oxygène groupes contenant de l'azote
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

7.

PHOTONIC DEBONDING FOR WAFER-LEVEL PACKAGING APPLICATIONS

      
Numéro d'application US2021055463
Numéro de publication 2022/040646
Statut Délivré - en vigueur
Date de dépôt 2021-10-18
Date de publication 2022-02-24
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Liu, Xiao
  • Prenger, Luke M.
  • Martinez, Xavier

Abrégé

A method is described for debonding a carrier and device substrate using a high-intensity, pulsed, broadband light system that is suitable for wafer-level packaging applications. The carrier substrate is a transparent wafer with a light absorbing layer on one side of the wafer. This method utilizes the high intensity light to rapidly heat up the light absorbing layer to decompose or melt a bonding material layer that is adjacent to the light absorbing layer. After exposure to light, the carrier substrate can be lifted off the surface of the device wafer with little or no force.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

8.

PERMANENT BONDING AND PATTERNING MATERIAL

      
Numéro d'application US2021046102
Numéro de publication 2022/036307
Statut Délivré - en vigueur
Date de dépôt 2021-08-16
Date de publication 2022-02-17
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Liu, Xiao
  • Huang, Baron
  • Sejoubsari, Reihaneh
  • Lee, Chia-Hsin

Abrégé

Methods are disclosed to prepare permanent materials that can be coated onto microelectronic substrates or used for other structural or optical applications. The permanent materials are thermally stable to at least 300°C, cure using a photo or thermal process, exhibit good chemical resistance (including during metal passivation), and have a lifespan of at least 5 years, preferably at least 10 years, in the final device. Advantageously, these materials can also be bonded at room temperature. The materials exhibit no movement or squeeze-out after bonding and adhere to a variety of substrate types. A chip-to-chip, chip-to-wafer, and/or wafer-to-wafer bonding method utilizing this material is also described.

Classes IPC  ?

  • H01L 21/52 - Montage des corps semi-conducteurs dans les conteneurs
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • C09J 7/00 - Adhésifs sous forme de films ou de pellicules
  • C09J 11/00 - Caractéristiques des adhésifs non prévues dans le groupe , p.ex. additifs

9.

SOLUBLE POLYIMIDES AND DIIMIDES FOR SPIN-ON CARBON APPLICATIONS

      
Numéro d'application US2021045164
Numéro de publication 2022/035734
Statut Délivré - en vigueur
Date de dépôt 2021-08-09
Date de publication 2022-02-17
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Huang, Runhui
  • Xu, Gu
  • Simmons, Sean
  • Sweat, Daniel
  • Koza, Jakub

Abrégé

A high-temperature-stable spin-on-carbon ("SOC") material that fills topography features on a substrate while planarizing the surface in a one-step, thin layer coating process is provided. The material comprises low molecular weight polyimides or diimides that are pre-imidized in solution rather than on the wafer. The SOC layers can survive harsh CVD conditions and are also SCI resistant, especially on TiN and SiOx surfaces.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08L 79/08 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08K 5/13 - Phénols; Phénolates
  • C08K 5/053 - Alcools polyhydroxyliques
  • C08K 5/49 - Composés contenant du phosphore
  • C08G 73/10 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

10.

MULTIFUNCTIONAL MATERIALS FOR TEMPORARY BONDING

      
Numéro d'application US2021029322
Numéro de publication 2021/222194
Statut Délivré - en vigueur
Date de dépôt 2021-04-27
Date de publication 2021-11-04
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Prenger, Luke, M.
  • Wu, Qi
  • Liu, Xiao

Abrégé

The materials and methods disclosed can be used for applications such as temporary bond and debond of semiconductor and display substrates. These materials have sufficiently low melt rheologies to be used as a bonding layer and can crosslink/cure to allow for reduction in material flow over long periods of time. This class of materials also incorporates the ability to be used as a single-layer system for debonding purposes and typically uses laser debonding for its release mechanism. These materials also allow for solvent cleanability using very mild acidic conditions instead of the typical harsh conditions used on curable layers.

Classes IPC  ?

  • C09J 5/06 - Procédés de collage en général; Procédés de collage non prévus ailleurs, p.ex. relatifs aux amorces comprenant un chauffage de l'adhésif appliqué
  • C09J 4/00 - Adhésifs à base de composés non macromoléculaires organiques ayant au moins une liaison non saturée carbone-carbone polymérisable
  • C09J 179/00 - Adhésifs à base de composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant uniquement de l'azote, avec ou sans oxygène ou carbone, non prévus dans les groupes

11.

PRINTABLE DISPERSION WITH TUNABLE VISCOSITY

      
Numéro d'application US2021023450
Numéro de publication 2021/194952
Statut Délivré - en vigueur
Date de dépôt 2021-03-22
Date de publication 2021-09-30
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Chen, Zun
  • Fury, Jonathan J.
  • Neeson, Alec

Abrégé

A copolymer of polytetrafluoroethylene and a perfluoro acid (e.g., Nafion™) is neutralized by a base to yield its corresponding salt, and a high-boiling-point compatible solvent is used as a substituting solvent, with the original, low-boiling-point solvent being removed by rotor evaporation. The resulting dispersion is screen printable, and its viscosity is controllable by adjusting its solids content. This screen-printable salt dispersion is especially useful in printed electronics applications such as sensors.

Classes IPC  ?

  • C08L 27/18 - Homopolymères ou copolymères du tétrafluoro-éthylène
  • C08J 3/02 - Production de solutions, dispersions, latex ou gel par d'autres procédés que ceux utilisant les techniques de polymérisation en solution, en émulsion ou en suspension
  • C08F 214/26 - Tétrafluoro-éthylène
  • C08F 8/32 - Introduction d'atomes d'azote ou de groupes contenant de l'azote par réaction avec des amines
  • C08F 8/36 - Sulfonation; Sulfatation
  • C09D 11/30 - Encres pour l'impression à jet d'encre
  • C09D 11/03 - Encres d’imprimerie caractérisées par des particularités autres que la nature chimique du liant

12.

HIGH-SILICON-CONTENT WET-REMOVABLE PLANARIZING LAYER

      
Numéro d'application US2020057317
Numéro de publication 2021/081482
Statut Délivré - en vigueur
Date de dépôt 2020-10-26
Date de publication 2021-04-29
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Luo, Ming
  • Wang, Yubao
  • Sakavuyi, Kaumba
  • Krishnamurthy, Vandana

Abrégé

Lithographic compositions for use as wet-removable silicon gap fill layers are provided. The method of using these compositions involves utilizing a silicon gap fill layer over topographic features on a substrate. The silicon gap fill layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred silicon gap fill layers are formed from spin-coatable, polymeric compositions with high silicon content, and these layers exhibit good gap fill and planarization performance and high oxygen etch resistance.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

13.

SELECTIVE LIQUIPHOBIC SURFACE MODIFICATION OF SUBSTRATES

      
Numéro d'application US2020031607
Numéro de publication 2021/050116
Statut Délivré - en vigueur
Date de dépôt 2020-05-06
Date de publication 2021-03-18
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce, A.
  • Chacko, Reuben

Abrégé

Materials and methods for modifying semiconducting substrate surfaces in order to dramatically change surface energy are provided. Preferred materials include perfluorocarbon molecules or polymers with various functional groups. The functional groups (carboxylic acids, hydroxyls, epoxies, aldehydes, and/or thiols) attach materials to the substrate surface by physical adsorption or chemical bonding, while the perfluorocarbon components contribute to low surface energy. Utilization of the disclosed materials and methods allows rapid transformation of surface properties from hydrophilic to hydrophobic (water contact angle 120° and PGMEA contact angle 70°). Selective liquiphobic modifications of copper over Si/SiOx, TiOx over Si/SiOx, and SiN over SiOx are also demonstrated.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

14.

UNDERLAYERS FOR EUV LITHOGRAPHY

      
Numéro d'application US2020047315
Numéro de publication 2021/035108
Statut Délivré - en vigueur
Date de dépôt 2020-08-21
Date de publication 2021-02-25
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Liang, Yichen
  • Chacko, Andrea M.
  • Wang, Yubao
  • Guerrero, Douglas J.

Abrégé

New lithographic compositions for use as EUV silicon hardmask layers are provided. The present invention provides methods of fabricating microelectronic structures and the resulting structures formed thereby using EUV lithographic processes. The method involves utilizing a silicon hardmask layer immediately below the photoresist layer. The silicon hardmask layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred silicon hardmask layers are formed from spin-coatable, polymeric compositions. The inventive method improves adhesion and reduces or eliminates pattern collapse issues.

Classes IPC  ?

  • G03F 7/075 - Composés contenant du silicium
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08G 77/14 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène
  • C08G 77/18 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène à des groupes alcoxyle ou aryloxyle
  • C08G 77/26 - Polysiloxanes contenant du silicium lié à des groupes organiques contenant des atomes autres que le carbone, l'hydrogène et l'oxygène groupes contenant de l'azote

15.

PERMANENT BONDING AND PATTERNING MATERIAL

      
Numéro d'application US2020044634
Numéro de publication 2021/026035
Statut Délivré - en vigueur
Date de dépôt 2020-07-31
Date de publication 2021-02-11
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Sejoubsari, Reihaneh
  • Flaim, Tony D.
  • Liu, Xiao

Abrégé

Methods are disclosed to prepare permanent materials that can be coated onto microelectronic substrates or used for other structural or optical applications. The materials are thermally stable to at least about 300°C, curable using a photo or thermal process, exhibit good chemical resistance (including during metal passivation), and have a lifespan of at least about 5 years, preferably at least about 10 years, in the final device. Advantageously, these materials can also be bonded at room temperature. The materials exhibit no movement or squeeze-out after bonding and adhere to a variety of substrate types.

Classes IPC  ?

  • C09J 201/08 - Groupes carboxyle
  • C09J 11/00 - Caractéristiques des adhésifs non prévues dans le groupe , p.ex. additifs
  • C08K 5/12 - Esters; Ether-esters d'acides polycarboxyliques cycliques
  • C08K 5/00 - Emploi d'ingrédients organiques
  • B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives

16.

POLY(CYANOCINNAMATE)S FOR STRUCTURAL AND OPTICAL APPLICATIONS

      
Numéro d'application US2020017300
Numéro de publication 2020/163765
Statut Délivré - en vigueur
Date de dépôt 2020-02-07
Date de publication 2020-08-13
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Flaim, Tony, D.
  • Xu, Gu
  • See, Jennifer, S.

Abrégé

Methods of preparing poly(cyanocinnamate)s are provided, with those involving mild conditions and resulting in a soluble polymer that is stable at room temperature and can be coated onto microelectronic substrates. The polymer includes at least one bis(cyanoacetate) monomer and at least one aromatic dialdehyde monomer. The polymer exhibits good thermal and structural properties and high absorbance in the UV range.

Classes IPC  ?

  • G03F 7/027 - Composés photopolymérisables non macromoléculaires contenant des doubles liaisons carbone-carbone, p.ex. composés éthyléniques
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

17.

LASER-RELEASABLE BONDING MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application US2020014272
Numéro de publication 2020/154225
Statut Délivré - en vigueur
Date de dépôt 2020-01-20
Date de publication 2020-07-30
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Prenger, Luke
  • Southard, Arthur O.
  • Wu, Qi
  • Liu, Xiao

Abrégé

Novel polyketanil-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, at wavelengths from about 300 nm to about 360 nm, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are resistant to common solvents used in semiconductor processing. The compositions can also be used as build-up layers for redistribution layer formation.

Classes IPC  ?

  • C09J 7/40 - Adhésifs sous forme de films ou de pellicules caractérisés par des couches antiadhésives
  • C08J 7/04 - Revêtement
  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • C08L 61/20 - Polymères de condensation obtenus uniquement à partir d'aldéhydes ou de cétones avec des composés contenant de l'hydrogène lié à l'azote
  • C08G 12/08 - Amines aromatiques
  • C03C 17/00 - Traitement de surface du verre, p.ex. du verre dévitrifié, autre que sous forme de fibres ou de filaments, par revêtement

18.

BOTTOM-UP CONFORMAL COATING AND PHOTOPATTERNING ON PAG-IMMOBILIZED SURFACES

      
Numéro d'application US2019059481
Numéro de publication 2020/092963
Statut Délivré - en vigueur
Date de dépôt 2019-11-01
Date de publication 2020-05-07
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce A.
  • Jones, Carissa

Abrégé

Materials and methods to immobilize photoacid generators on semiconducting substrates are provided. PAG-containing monomers are copolymerized with monomers to allow the polymer to bind to a surface, and optionally copolymerized with monomers to enhance solubility to generate PAG-containing polymers. The PAG-containing monomers can be coated onto a surface, where the immobilized PAGs can then be used to pattern materials coated on top of the immobilized PAGs, allowing direct patterning without the use of a photoresist, thereby reducing process steps and cost. The disclosed materials and processes can be used to produce conformal coatings of controlled thicknesses.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G03F 7/09 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/34 - Dépouillement selon l'image par transfert sélectif, p.ex. par arrachement
  • G03F 7/42 - Elimination des réserves ou agents à cet effet
  • G03F 7/021 - Composés de diazonium macromoléculaires; Additifs macromoléculaires, p.ex. liants
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

19.

ADHESION LAYERS FOR EUV LITHOGRAPHY

      
Numéro d'application US2019036791
Numéro de publication 2019/241402
Statut Délivré - en vigueur
Date de dépôt 2019-06-12
Date de publication 2019-12-19
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Chacko, Andrea M.
  • Krishnamurthy, Vandana
  • Liang, Yichen
  • Lee, Hao
  • Grannemann, Stephen
  • Guerrero, Douglas J.

Abrégé

New lithographic compositions for use as EUV adhesion layers are provided. The present invention provides methods of fabricating microelectronics structures using those compositions as well as structures formed by those methods. The method involves utilizing an adhesion layer immediately below the photoresist layer. The adhesion layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate, such as an alpha-carbon, spin-on carbon, spin-on silicon hardmask, metal hardmask, or deposited silicon layer. The preferred adhesion layers are formed from spin-coatable, polymeric compositions. The inventive method improves adhesion and reduces or eliminates pattern collapse issues.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/32 - Compositions liquides à cet effet, p.ex. développateurs
  • G03F 7/004 - Matériaux photosensibles
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

20.

GRADIENT BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2018051333
Numéro de publication 2019/152078
Statut Délivré - en vigueur
Date de dépôt 2018-09-17
Date de publication 2019-08-08
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Xu, Kui
  • Daugherty Jr., Richard Elsworth
  • Sweat, Daniel Patrick
  • Hockey, Mary Ann
  • Calderas, Eric
  • Bennett, Megan

Abrégé

Novel block copolymers ("BCPs") having non-random distributions of comonomers within at least one of the blocks and methods of using those BCPs in directed self-assembly ("DSA") processes are provided. The non-random (e.g., gradient-creating) distributions can be customized in order to concentrate the desired comonomer properties in predetermined areas of the BCP. These BCPs can achieve perpendicular orientation with simple annealing and offer superior long-range ordering and lower defectivity when compared to prior art BCPs. These BCPs can be incorporated into compositions that simultaneously offer the benefits of high-χ and rapid thermal-annealing kinetics while maintaining similar or improved guide process windows when compared to prior art BCPs.

Classes IPC  ?

  • C08F 293/00 - Composés macromoléculaires obtenus par polymérisation sur une macromolécule contenant des groupes capables d'amorcer la formation de nouvelles chaînes polymères rattachées exclusivement à une ou aux deux extrémités de la macromolécule de départ
  • C08F 212/08 - Styrène
  • C08F 212/14 - Monomères contenant un seul radical aliphatique non saturé contenant un cycle substitué par des hétéro-atomes ou des groupes contenant des hétéro-atomes
  • C08F 212/32 - Monomères contenant un seul radical aliphatique non saturé contenant plusieurs cycles
  • C08F 220/18 - Esters des alcools ou des phénols monohydriques des phénols ou des alcools contenant plusieurs atomes de carbone avec l'acide acrylique ou l'acide méthacrylique

21.

LASER-RELEASABLE BONDING MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application US2018067099
Numéro de publication 2019/126648
Statut Délivré - en vigueur
Date de dépôt 2018-12-21
Date de publication 2019-06-27
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Liu, Xiao
  • Wu, Qi
  • Puligadda, Rama
  • Bai, Dongshun
  • Huang, Baron

Abrégé

Novel thermoplastic polyhydroxyether-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are soluble in commonly-used organic solvents (e.g., cyclopentanone). The compositions can also be used as build-up layers for RDL formation.

Classes IPC  ?

  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants
  • B32B 27/20 - Produits stratifiés composés essentiellement de résine synthétique caractérisée par l'emploi d'additifs particuliers utilisant des charges, des pigments, des agents thixotropiques
  • C09J 171/08 - Polyéthers dérivés de composés hydroxylés ou de leurs dérivés métalliques
  • C09J 11/06 - Additifs non macromoléculaires organiques
  • C09J 5/04 - Procédés de collage en général; Procédés de collage non prévus ailleurs, p.ex. relatifs aux amorces comprenant une application séparée de produits adhésifs sur les différentes surfaces à joindre
  • H01L 21/18 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives les dispositifs présentant au moins une barrière de potentiel ou une barrière de surface, p.ex. une jonction PN, une région d'appauvrissement, ou une région de concentration de porteurs de charges les dispositifs ayant des corps semi-conducteurs comprenant des éléments du groupe IV de la classification périodique, ou des composés AIIIBV, avec ou sans impuretés, p.ex. des matériaux de dopage
  • H01L 21/268 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée les radiations étant électromagnétiques, p.ex. des rayons laser
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

22.

ENVIRONMENTALLY SEALED, REUSABLE CONNECTOR FOR PRINTED FLEXIBLE ELECTRONICS

      
Numéro d'application US2018043742
Numéro de publication 2019/023374
Statut Délivré - en vigueur
Date de dépôt 2018-07-25
Date de publication 2019-01-31
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Stone, William J.
  • Demster, Joseph
  • Cox, Robert Christian
  • Johnson, Alex Bruce
  • Mccarthy, Louis

Abrégé

An environmentally sealed connector for connecting a spring-loaded terminal to a flexible circuit includes a spring-loaded terminal and a connector cap having a terminal cavity receiving a portion of the spring-loaded terminal therein in order to electrically couple the spring-loaded terminal to the flexible circuit. A connector base is releasably coupled to the connector cap and covers the terminal cavity and the portion of the spring-loaded terminal therein. An elastic member is disposed between the connector cap and the connector base in sealing engagement therewith and surrounds the terminal cavity and the portion of the spring-loaded terminal.

Classes IPC  ?

  • H01R 13/52 - Boîtiers protégés contre la poussière, les projections, les éclaboussures, l'eau ou les flammes
  • H01R 13/62 - Moyens pour faciliter l'engagement ou la séparation des pièces de couplage ou pour les maintenir engagées

23.

HIGH-CHI BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2017066258
Numéro de publication 2018/112121
Statut Délivré - en vigueur
Date de dépôt 2017-12-14
Date de publication 2018-06-21
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Sweat, Daniel
  • Xu, Kui

Abrégé

The present invention is broadly concerned with novel directed self-assembly compositions, processes utilizing those compositions, and the resulting structures that are formed. The composition comprises a block copolymer of polystyrene and a polymethylmethacrylate block with polylactic acid side chains ("PS-b-P(MMA-LA)"). The block copolymer is capable of crosslinking and micro-phase separating into lines and spaces measuring about 10-nm or smaller with sub-20 nm L0 capability. Additionally, PS-b-P(MMA-LA) can be thermally annealed without a top-coat for simpler processing than the prior art. The polylactic acid side chains also increase the etch rate of the poly(methylmethacrylate) block when exposed to oxygen plasma, as well as lower the Tg.

Classes IPC  ?

  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants
  • C08G 81/02 - Composés macromoléculaires obtenus par l'interréaction de polymères en l'absence de monomères, p.ex. polymères séquencés au moins un des polymères étant obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone
  • C08F 299/04 - Composés macromoléculaires obtenus par des interréactions de polymères impliquant uniquement des réactions entre des liaisons non saturées carbone-carbone, en l'absence de monomères non macromoléculaires à partir de polycondensats non saturés à partir de polyesters
  • C08F 20/18 - Esters des alcools ou des phénols monohydriques des phénols ou des alcools contenant plusieurs atomes de carbone avec l'acide acrylique ou l'acide méthacrylique
  • C08F 20/20 - Esters des alcools polyhydriques ou des phénols polyhydriques
  • C08G 65/40 - Composés macromoléculaires obtenus par des réactions créant une liaison éther dans la chaîne principale de la macromolécule à partir de composés hydroxylés ou de leurs dérivés métalliques dérivés des phénols à partir des phénols et d'autres composés
  • C08G 63/08 - Lactones ou lactides
  • C08L 53/00 - Compositions contenant des copolymères séquencés possédant au moins une séquence d'un polymère obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone; Compositions contenant des dérivés de tels polymères

24.

CHEMICALLY PATTERNED GUIDE LAYERS FOR USE IN CHEMOEPITAXY DIRECTING OF BLOCK CO-POLYMERS

      
Numéro d'application US2017055090
Numéro de publication 2018/067671
Statut Délivré - en vigueur
Date de dépôt 2017-10-04
Date de publication 2018-04-12
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce

Abrégé

The present invention is broadly concerned with materials, processes, and structures that allow an underlayer to be imaged directly using conventional lithography, thus avoiding the photoresist processing steps required by prior art directed self-assembly (DSA) processes. The underlayers can be tailored to favor a selected block of the DSA block co-polymers (BCP), depending on the pattern, and can be formulated either to initially be neutral to the BCP and switch to non-neutral after photoexposure, or can initially be non-neutral to the BCP and switch to neutral after exposure. These materials allow fast crosslinking to achieve solvent resistance and possess good thermal stability.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

25.

ENERGETIC PULSE CLEARING OF ENVIRONMENTALLY SENSITIVE THIN-FILM DEVICES

      
Numéro d'application US2017050086
Numéro de publication 2018/045377
Statut Délivré - en vigueur
Date de dépôt 2017-09-05
Date de publication 2018-03-08
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Giedd, Ryan E.
  • Fury, Jonathan J.
  • Harker, Erik
  • Landorf, Christopher

Abrégé

A process and electronic hardware and software system for rapidly heating and cooling an active sensing layer of a gas sensor is provided. A series of high-energy pulses is run through a CNT electrically-active layer, heating the layer to varying temperatures. The influence by various gases on the electrical conductivity of the layer can be used to identify gases (e.g., water vapor, alcohol, methane, O2, CO2, and CO). Advantageously, the same structure can also be used as a nanoheater, either within or outside the context of the gas sensor. The device can acquire a unique gas spectra in seconds, and thus accurately determine gas type and mixtures of gases based on a library of known spectra.

Classes IPC  ?

  • G01N 27/12 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance en recherchant la résistance d'un corps solide dépendant de la réaction avec un fluide

26.

LASER ABLATIVE DIELECTRIC MATERIAL

      
Numéro d'application US2017042225
Numéro de publication 2018/013976
Statut Délivré - en vigueur
Date de dépôt 2017-07-14
Date de publication 2018-01-18
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Matos-Perez, Cristina R.
  • Flaim, Tony D.
  • Southard, Arthur O.
  • Kirchner, Lisa M.
  • Blumenshine, Deborah

Abrégé

Dielectric materials with optimal mechanical properties for use in laser ablation patterning are proposed. These materials include a polymer selected from the group consisting of polyureas, polyurethane, and polyacylhydrazones. New methods to prepare suitable polyacylhydrazones are also provided. Those methods involve mild conditions and result in a soluble polymer that is stable at room temperature and can be incorporated into formulations that can be coated onto microelectronic substrates. The dielectric materials exhibit high elongation, low CTE, low cure temperature, and leave little to no debris post-ablation.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage

27.

ENVIRONMENTAL SENSOR SYSTEM AND SIGNAL PROCESSOR

      
Numéro d'application US2016045613
Numéro de publication 2017/027334
Statut Délivré - en vigueur
Date de dépôt 2016-08-04
Date de publication 2017-02-16
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Fury, Jonathan
  • Anthony, Nicholas
  • Giedd, Ryan

Abrégé

A sensor system comprises a first sensor, a second sensor, a high pass filter, and a summation unit. The first sensor senses an environmental parameter and outputs a first electronic signal with a response having a first time constant. The second sensor senses the environmental parameter and outputs a second electronic signal with a response having a second time constant greater than the first time constant. The high pass filter has a filter time constant roughly equal to the second time constant and filters the first electronic signal, outputting a filtered first electronic signal in which changes in a level or value of the first electronic signal with transition times that are less than the filter time constant are passed. The summation unit receives the filtered first electronic signal and the second electronic signal and outputs a sum of the filtered first electronic signal and the second electronic signal.

Classes IPC  ?

  • G01D 21/02 - Mesure de plusieurs variables par des moyens non couverts par une seule autre sous-classe
  • G01D 1/02 - Dispositions pour la mesure donnant des résultats autres que la valeur instantanée d'une variable, d'application générale donnant des valeurs moyennes, p.ex. des valeurs efficaces

28.

SUPERPLANARIZING SPIN-ON CARBON MATERIALS

      
Numéro d'application US2016038554
Numéro de publication 2016/209828
Statut Délivré - en vigueur
Date de dépôt 2016-06-21
Date de publication 2016-12-29
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Huang, Runhui
  • Zhang, Boyu

Abrégé

Planarizing and spin-on-carbon (SOC) compositions that fill vias and/or trenches on a substrate while planarizing the surface in a single thin layer coating process are provided. The compositions can planarize wide ranges of substrates with vias or trenches of from about 20 nm to about 220 nm wide, and up to about 700 nm deep. These extraordinary properties come from the low molecular weight of the polymers used in the materials, thermally-labile protecting groups on the polymers, and a delayed crosslinking reaction.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • G03F 1/22 - Masques ou masques vierges d'imagerie par rayonnement d'une longueur d'onde de 100 nm ou moins, p.ex. masques pour rayons X, masques en extrême ultra violet [EUV]; Leur préparation
  • G03F 1/48 - Couches protectrices
  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • G03F 7/004 - Matériaux photosensibles

29.

ALL-ORGANIC HIGH REFRACTIVE INDEX MATERIALS

      
Numéro d'application US2015066051
Numéro de publication 2016/100485
Statut Délivré - en vigueur
Date de dépôt 2015-12-16
Date de publication 2016-06-23
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Cox, Robert, Christian
  • Devaughn, Raymond

Abrégé

Novel hyper-branched, dense, high-refractive-index polymers, and compositions utilizing those polymers are provided, along with methods of forming high refractive index films with those compositions. The refractive index of the material is at least about 1.8 at 400 nm. Further, it can be made into optically transparent thin films of only a couple hundred angstroms thickness to thick films of several micrometers thick, as well as into "bulk" solids. The use of a thermal acid or a photo acid generator facilitates crosslinking after the coating process.

Classes IPC  ?

  • C08G 12/32 - Mélamines
  • C08L 79/04 - Polycondensats possédant des hétérocycles contenant de l'azote dans la chaîne principale; Polyhydrazides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08J 5/18 - Fabrication de bandes ou de feuilles

30.

COMPUTER PROGRAM AND METHOD FOR VERIFYING CONTAINER OF MATERIAL TO BE DISPENSED

      
Numéro d'application US2014068383
Numéro de publication 2016/089393
Statut Délivré - en vigueur
Date de dépôt 2014-12-03
Date de publication 2016-06-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lewis, Adam
  • Edwards, Kevin

Abrégé

A system for verifying a container of a material before the material is transferred to a process. The system includes a conduit that extends through a mouth of the container. The conduit is supported by an arm that moves between a first position in which the conduit extends through the mouth, and a second position in which the conduit is removed and moved away from the mouth. A reading device extracts information about the container from an information storage element. A computer program receives input regarding the extracted information, receives input regarding the process, and determining whether the container is correct for the process. The program prevents the arm from moving to the first position, and only if the container is determined to be correct does the program allow the arm to move to the first position so that the material can be transferred.

Classes IPC  ?

  • G06K 17/00 - Méthodes ou dispositions pour faire travailler en coopération des équipements couverts par plusieurs des groupes principaux , p.ex. fichiers automatiques de cartes incluant les opérations de transport et de lecture
  • G06K 19/06 - Supports d'enregistrement pour utilisation avec des machines et avec au moins une partie prévue pour supporter des marques numériques caractérisés par le genre de marque numérique, p.ex. forme, nature, code

31.

POLYIMIDES AS LASER RELEASE MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application US2015041505
Numéro de publication 2016/014648
Statut Délivré - en vigueur
Date de dépôt 2015-07-22
Date de publication 2016-01-28
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Liu, Xiao
  • Bai, Dongshun
  • Flaim, Tony D.
  • Zhong, Xing-Fu
  • Wu, Qi

Abrégé

The invention broadly relates to release layer compositions that enable thin wafer handling during microelectronics manufacturing. Preferred release layers are formed from compositions comprising a polyamic acid or polyimide dissolved or dispersed in a solvent system, followed by curing and/or solvent removal at about 250°C to about 350°C for less than about 10 minutes, yielding a thin film. This process forms the release compositions into polyimide release layers that can be used in temporary bonding processes, and laser debonded after the desired processing has been carried out.

Classes IPC  ?

  • H01L 21/58 - Montage des dispositifs à semi-conducteurs sur des supports

32.

THIN-FILM RESISTIVE-BASED SENSOR

      
Numéro d'application US2015041577
Numéro de publication 2016/014689
Statut Délivré - en vigueur
Date de dépôt 2015-07-22
Date de publication 2016-01-28
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Giedd, Ryan E.
  • Kayastha, Vijaya
  • Fury, Jonathan
  • Cox, Robert Christian

Abrégé

Printed resistive-based sensors and transducers comprising a thin, electronically "active" sensing layer within a dielectric and/or metallic layered structure are provided. The electronic resistance of the active sensing layer is measured during a change in the sensor environment. By utilizing a multi-layered architecture around the active sensing layer, the electronic signal of the sensing element can be improved. By carefully selecting the architecture and materials that surround the active sensing layer, the sensitivity, stability, and selectivity of the sensor to detect changes in the environment are improved. This design allows for a number of specific application areas for environmental sensing.

Classes IPC  ?

  • G01R 31/00 - Dispositions pour tester les propriétés électriques; Dispositions pour la localisation des pannes électriques; Dispositions pour tests électriques caractérisées par ce qui est testé, non prévues ailleurs
  • G01R 27/02 - Mesure de résistances, de réactances, d'impédances réelles ou complexes, ou autres caractéristiques bipolaires qui en dérivent, p.ex. constante de temps

33.

MULTI-SIZE ADAPTABLE SPIN CHUCK SYSTEM

      
Numéro d'application US2015031560
Numéro de publication 2015/179387
Statut Délivré - en vigueur
Date de dépôt 2015-05-19
Date de publication 2015-11-26
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Emory, Kirk
  • Wilson, Brandon
  • Ruesing, Roger

Abrégé

A novel interchangeable spin chuck system is provided that allows the user to quickly change substrate sizes and spin chuck styles without any extra tools. This system has a two-piece design and overcomes many of the drawbacks of previous spin chuck designs, such as difficulty in seating the spin chuck and ensuring that the spin chuck is at a consistent flatness and height. Furthermore, this spin chuck system allows the spin chucks to be manufactured at a lower cost. Thus, rather than restricting users to "make do" with incorrect spin chucks due to budget limitations, this economical design gives users access to a wider range of spin chuck sizes and styles.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

34.

USER INTERFACE, METHOD, AND COMPUTER PROGRAM FOR DISPLAYING DATA

      
Numéro d'application US2015029227
Numéro de publication 2015/171600
Statut Délivré - en vigueur
Date de dépôt 2015-05-05
Date de publication 2015-11-12
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lewis, Adam C.
  • Edwards, Kevin

Abrégé

A user interface for monitoring a number of parameters of a system includes an electronic display element and a display driver for controlling the electronic display element so as to display a data graph thereon. The data graph includes a bounded area divided into a plurality of segments, each segment representing one of the parameters; and a number of concentric portions formed in each segment, each concentric portion representing a state or value of the parameter represented by its corresponding segment. The display driver receives data representative of a current state or value of each of the parameters and indicates the current state or value of the parameters by marking the concentric portions that represent the current states or values.

Classes IPC  ?

  • G06F 3/048 - Techniques d’interaction fondées sur les interfaces utilisateur graphiques [GUI]

35.

HIGH-CHI BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2015011811
Numéro de publication 2015/109224
Statut Délivré - en vigueur
Date de dépôt 2015-01-16
Date de publication 2015-07-23
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Kui
  • Hockey, Mary Ann
  • Calderas, Eric

Abrégé

Compositions for directed self-assembly (DSA) patterning techniques are provided. Methods for directed self-assembly are also provided in which a DSA composition comprising a block copolymer (BCP) is applied to a substrate and then self-assembled to form the desired pattern. The block copolymer includes at least two blocks and is selected to have a high interaction parameter (Chi). The BCPs are able to form perpendicular lamellae by simple thermal annealing on a neutralized substrate, without a top coat. The BCPs are also capable of micro-phase separating into lines and spaces measuring at 10 nm or smaller, with sub-20-nm Lo capability.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

36.

CYCLIC OLEFIN POLYMER COMPOSITIONS AND POLYSILOXANE RELEASE LAYERS FOR USE IN TEMPORARY WAFER BONDING PROCESSES

      
Numéro d'application US2015010290
Numéro de publication 2015/105785
Statut Délivré - en vigueur
Date de dépôt 2015-01-06
Date de publication 2015-07-16
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Bai, Dongshun
  • Xu, Gu
  • Blumenshine, Debbie

Abrégé

The invention broadly relates to cyclic olefin polymer bonding compositions and release compositions, to be used independently or together, that enable thin wafer handling during microelectronics manufacturing, especially during a full-wafer mechanical debonding process. The release compositions comprise compositions made from siloxane polymers and copolymers blended in a polar solvent, and that are stable at room temperature for longer than one month. The cyclic olefin polymer bonding compositions provide high thermal stability, can be bonded to fully-treated carrier wafers, can be mechanically or laser debonded after high-temperature heat treatment, and are easily removed with an industrially-acceptable solvent. Wafers bonded according to the invention demonstrate lower overall post-grind stack TTV compared to other commercial bonding materials and can survive 200°C PECVD processing.

Classes IPC  ?

  • H01L 21/58 - Montage des dispositifs à semi-conducteurs sur des supports

37.

APPARATUS AND METHOD FOR THIN WAFER TRANSFER

      
Numéro d'application US2014032226
Numéro de publication 2014/165406
Statut Délivré - en vigueur
Date de dépôt 2014-03-28
Date de publication 2014-10-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Waterworth, Blake
  • Rich, Steven Matthew
  • Hladik, Molly
  • Emory, Kirk

Abrégé

A wafer transfer assembly and method of using the assembly to transfer device wafers between processing tools in a manufacturing process are described herein. The assembly comprises a wafer transfer disk, an end effector configured to receive and support the wafer transfer disk, and an elongated handle extending from the end effector. The wafer transfer disk comprises a wafer-engaging surface configured to support a debonded device wafer placed on the wafer transfer assembly with the device surface adjacent the wafer-engaging surface. The wafer-engaging surface has non-stick properties, and yields a low bonding strength interface between the wafer-engaging surface and device surface. The resulting transfer stack can be transported to other processing tools for additional processing of the debonded device wafer, followed by separating the debonded device wafer and the wafer transfer disk without damaging the device wafer.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • B65G 49/07 - Systèmes transporteurs caractérisés par leur utilisation à des fins particulières, non prévus ailleurs pour des matériaux ou objets fragiles ou dommageables pour des plaquettes semi-conductrices

38.

HIGHLY ETCH-RESISTANT POLYMER BLOCK FOR USE IN BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2014032557
Numéro de publication 2014/165530
Statut Délivré - en vigueur
Date de dépôt 2014-04-01
Date de publication 2014-10-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Kui
  • Hockey, Mary Ann
  • Guerrero, Douglas

Abrégé

Compositions for directed self-assembly (DSA) patterning techniques are provided. Methods for directed self-assembly are also provided in which a DSA composition comprising a block copolymer is applied to a substrate and then self-assembled to form the desired pattern. The block copolymer includes at least two blocks of differing etch rates, so that one block (e.g., polymethylmethacrylate) is selectively removed during etching. Because the slower etching block (e.g., polystyrene) is modified with an additive to further slow the etch rate of that block, more of the slow etching block remains behind to fully transfer the pattern to underlying layers.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

39.

CVD-FREE, SCALABLE PROCESSES FOR THE PRODUCTION OF SILICON MICRO- AND NANOSTRUCTURES

      
Numéro d'application US2013069334
Numéro de publication 2014/074943
Statut Délivré - en vigueur
Date de dépôt 2013-11-08
Date de publication 2014-05-15
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Malhotra, Jyoti K.
  • Leith, Jeff
  • Planje, Curtis

Abrégé

Manufacturing-friendly and scalable methods for the production of silicon micro- and nanostructures, including silicon nanotubes, are described. The inventive methods utilize conventional integrated circuit and MEMS manufacturing processes, including spin-coating, photolithography, wet and dry silicon etching, and photoassisted electrochemical etch processes. The invention also provides a novel mask, for maximizing the number of tubes obtained per surface area unit of the silicon substrate on which the tubes are built. The resulting tubes have thick and straight outer walls, as well as high aspect ratios.

Classes IPC  ?

  • B82B 3/00 - Fabrication ou traitement des nanostructures par manipulation d’atomes ou de molécules, ou d’ensembles limités d’atomes ou de molécules un à un comme des unités individuelles
  • B82B 1/00 - Nanostructures formées par manipulation d’atomes ou de molécules, ou d’ensembles limités d’atomes ou de molécules un à un comme des unités individuelles

40.

SILICONE POLYMERS WITH HIGH REFRACTIVE INDICES AND EXTENDED POT LIFE

      
Numéro d'application US2013065303
Numéro de publication 2014/062843
Statut Délivré - en vigueur
Date de dépôt 2013-10-16
Date de publication 2014-04-24
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Malhotra, Jyoti K.
  • Zhong, Xing-Fu

Abrégé

Novel compositions and methods of using those compositions to form high refractive index coatings are provided. The compositions comprise a mixture of two silicone polymers, a catalyst, and an inhibitor for the catalyst. The preferred catalyst comprises platinum. Unlike prior art silicone systems, the inventive composition can be provided in a one-part form due to a substantially improved pot life. The compositions can be spin- or spray-applied, followed by baking to crosslink the polymers and form a cured layer. The inventive cured layers have high refractive indices and light transmissions.

Classes IPC  ?

  • H01L 23/28 - Capsulations, p.ex. couches de capsulation, revêtements

41.

PHOTOSENSITIVE, DEVELOPER-SOLUBLE BOTTOM ANTI-REFLECTIVE COATING MATERIAL

      
Numéro d'application US2013037626
Numéro de publication 2013/163100
Statut Délivré - en vigueur
Date de dépôt 2013-04-22
Date de publication 2013-10-31
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lowes, Joyce
  • Dai, Jinhua
  • Guerrero, Alice

Abrégé

Photosensitive, developer-soluble bottom anti-reflective coatings are described. Compositions and methods of forming the same are also disclosed along with resulting microelectronic structures. The anti-reflective compositions comprise a multi-functional epoxy compound having multiple epoxy moieties pendant therefrom and one or more crosslinkable chromophores bonded thereto. The compounds are dispersed or dissolved in a solvent system with a vinyl ether crosslinker and can be used to create crosslinkable and de-crosslinkable coatings for microelectronics fabrication.

Classes IPC  ?

  • C09D 163/00 - Compositions de revêtement à base de résines époxy; Compositions de revêtement à base de dérivés des résines époxy
  • G03F 7/032 - Composés photopolymérisables non macromoléculaires contenant des doubles liaisons carbone-carbone, p.ex. composés éthyléniques avec des liants
  • G02B 1/11 - Revêtements antiréfléchissants

42.

MULTIFUNCTIONAL ALCOHOL DISPERSIONS OF CARBON NANOTUBES

      
Numéro d'application US2013038195
Numéro de publication 2013/163413
Statut Délivré - en vigueur
Date de dépôt 2013-04-25
Date de publication 2013-10-31
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s) Hladik, Molly

Abrégé

New carbon nanotube (CNT) compositions and methods of using those compositions are provided. Raw carbon nanotubes are mechanically dispersed via milling into multifunctional alcohols and mixtures of multifunctional alcohols and solvents to form pastes or dispersions that are viscous enough to be printed using standard means such as screen printing. These pastes or dispersions are stable in both dilute and concentrated solution. The invention allows films to be formed on substrates (e.g., plastics, glass, metals, ceramics).

Classes IPC  ?

43.

SILICON HARDMASK LAYER FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2013036548
Numéro de publication 2013/158527
Statut Délivré - en vigueur
Date de dépôt 2013-04-15
Date de publication 2013-10-24
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Wang, Yubao
  • Hockey, Mary Ann
  • Guerrero, Douglas J.
  • Krishnamurthy, Vandana
  • Cox, Robert C.

Abrégé

Compositions for directed self-assembly patterning techniques are provided which avoid the need for separate anti-reflective coatings and brush neutral layers in the process. Methods for directed self-assembly are also provided in which a self-assembling material, such as a directed self-assembly block copolymer, can be applied directly to the silicon hardmask neutral layer and then self-assembled to form the desired pattern. Directed self-assembly patterned structures are also disclosed herein.

Classes IPC  ?

  • G03F 1/38 - Masques à caractéristiques supplémentaires, p.ex. marquages pour l'alignement ou les tests, ou couches particulières; Leur préparation
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

44.

FLUORINATED SILANE COATING COMPOSITIONS FOR THIN WAFER BONDING AND HANDLING

      
Numéro d'application US2013025378
Numéro de publication 2013/119976
Statut Délivré - en vigueur
Date de dépôt 2013-02-08
Date de publication 2013-08-15
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s) Xu, Gu

Abrégé

This invention is related to compositions that prepare substrate surfaces to enable temporary wafer bonding during microelectronics manufacturing, especially using a zonal bonding process. This invention, which comprises compositions made from fluorinated silanes blended in a polar solvent, can be used to form surface coatings or treatments having a high contact angle with water (>85°). The resulting silane solutions are stable at room temperature for longer than one month.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

45.

NONPOLYMERIC ANTIREFLECTION COMPOSITIONS CONTAINING ADAMANTYL GROUPS

      
Numéro d'application US2013021932
Numéro de publication 2013/109748
Statut Délivré - en vigueur
Date de dépôt 2013-01-17
Date de publication 2013-07-25
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Sullivan, Daniel M.
  • Stroud, Charlyn
  • Dai, Jinhua

Abrégé

Nonpolymeric compounds, compositions, and methods for forming microelectronic structures, and the structures formed thereform are provided. The nonpolymeric compounds are ring-opened, epoxide-adamantane derivatives that comprise at least two epoxy moieties and at least one adamantyl group, along with at least one chemical modification group, such as a chromophore, bonded to a respective epoxy moiety. Anti-reflective and/or planarization compositions can be formed using these compounds and used in lithographic processes, including fabrication of microelectronic structures.

Classes IPC  ?

  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

46.

ASSIST LAYERS FOR EUV LITHOGRAPHY

      
Numéro d'application US2012066074
Numéro de publication 2013/078211
Statut Délivré - en vigueur
Date de dépôt 2012-11-20
Date de publication 2013-05-30
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Ouattara, Tantiboro
  • Washburn, Carlton
  • Krishnamurthy, Vandana
  • Guerrero, Douglas
  • Collin, Aline

Abrégé

The present invention provides novel methods of fabricating microelectronics structures, and the resulting structures formed thereby, using EUV lithographic processes. The method involves utilizing an assist layer immediately below the photoresist layer. The assist layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred assist layers are formed from spin-coatable, polymeric compositions. The inventive method allows reduced critical dimensions to be achieved with improved dose-to-size ratios, while improving adhesion and reducing or eliminating pattern collapse issues.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • G03F 7/20 - Exposition; Appareillages à cet effet

47.

SPIN-ON CARBON COMPOSITIONS FOR LITHOGRAPHIC PROCESSING

      
Numéro d'application US2012059565
Numéro de publication 2013/055798
Statut Délivré - en vigueur
Date de dépôt 2012-10-10
Date de publication 2013-04-18
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Krishnamurthy, Vandana
  • Sullivan, Daniel M.
  • Wang, Yubao
  • Lin, Qin
  • Simmons, Sean

Abrégé

The invention described herein is directed towards spin-on carbon materials comprising polyamic acid compositions and a crosslinker in a solvent system. The materials are useful in trilayer photolithography processes. Films made with the inventive compositions are not soluble in solvents commonly used in lithographic materials, such as, but not limited to PGME, PGMEA, and cyclohexanone. However, the films can be dissolved in developers commonly used in photolithography. In one embodiment, the films can be heated at high temperatures to improve the thermal stability for high temperature processing. Regardless of the embodiment, the material can be applied to a flat/planar or patterned surface. Advantageously, the material exhibits a wiggling resistance during pattern transfer to silicon substrate using fluorocarbon etch.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/205 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale en utilisant la réduction ou la décomposition d'un composé gazeux donnant un condensat solide, c. à d. un dépôt chimique

48.

USE OF MEGASONIC ENERGY TO ASSIST EDGE BOND REMOVAL IN A ZONAL TEMPORARY BONDING PROCESS

      
Numéro d'application US2012053962
Numéro de publication 2013/036638
Statut Délivré - en vigueur
Date de dépôt 2012-09-06
Date de publication 2013-03-14
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Mccutcheon, Jeremy
  • Strothmann, James E.

Abrégé

New methods of weakening the bonds between a bonded pair of wafers or substrates are provided. The substrates are preferably bonded at their outer peripheries. When it is desired to separate the substrates, they are contacted with a solvent system suitable for weakening, softening, and/or dissolving the bonding composition at their outer peripheries. Megasonic energy is simultaneously directed at the substrates (and preferably the bonding composition itself), so as to increase solvent penetration into the composition, thus decreasing the time needed for substrate separation and increasing throughput.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

49.

METHODS OF TRANSFERRING DEVICE WAFERS OR LAYERS BETWEEN CARRIER SUBSTRATES AND OTHER SURFACES

      
Numéro d'application US2012045980
Numéro de publication 2013/006865
Statut Délivré - en vigueur
Date de dépôt 2012-07-09
Date de publication 2013-01-10
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Mccutcheon, Jeremy
  • Flaim, Tony D.
  • Bailey, Susan

Abrégé

New temporary bonding methods and articles formed from those methods are provided. In one embodiment, the methods comprise coating a device or other ultrathin layer on a growth substrate with a rigid support layer and then bonding that stack to a carrier substrate. The growth substrate can then be removed and the ultrathin layer mounted on a final support. In another embodiment, the invention provides methods of handling device layers during processing that must occur on both sides of the fragile layer without damaging it. This is accomplished via the sequential use of two carriers, one on each side of the device layer, bonded with different bonding compositions for selective debonding.

Classes IPC  ?

  • H01L 21/301 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour subdiviser un corps semi-conducteur en parties distinctes, p.ex. cloisonnement en zones séparées
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

50.

METAL-OXIDE FILMS FROM SMALL MOLECULES FOR LITHOGRAPHIC APPLICATIONS

      
Numéro d'application US2012044248
Numéro de publication 2013/006314
Statut Délivré - en vigueur
Date de dépôt 2012-06-26
Date de publication 2013-01-10
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Sullivan, Daniel M.
  • Neef, Charles J.
  • Wang, Yubao
  • Ouattara, Tantiboro

Abrégé

Metal-oxide films for lithographic applications are provided. The films are formed from compositions comprising metal-oxide precursor compounds including metals and metalloids other than silicon. These films are easily produced and can be modified with a variety of ligands, including alkoxides, phenoxides, carboxylates, beta-diketones, and beta-ketoesters.

Classes IPC  ?

  • C08L 85/00 - Compositions contenant des composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant des atomes autres que le silicium, le soufre, l'azote, l'oxygène et le carbone; Compositions contenant des dérivés de tels polymères
  • C08L 101/00 - Compositions contenant des composés macromoléculaires non spécifiés
  • C08J 5/18 - Fabrication de bandes ou de feuilles
  • G03F 7/004 - Matériaux photosensibles
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

51.

HIGHLY SOLUBLE CARBON NANOTUBES WITH ENHANCED CONDUCTIVITY

      
Numéro d'application US2012043706
Numéro de publication 2012/177975
Statut Délivré - en vigueur
Date de dépôt 2012-06-22
Date de publication 2012-12-27
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Landorf, Christopher
  • Jones, Carissa
  • Nelson, Marriana

Abrégé

New methods for preparing carbon nanotube films having enhanced properties are provided. The method broadly provides reacting carbon nanotubes (CNTs) and compounds comprising a polyaromatic moieties in the presence a strong acid. During the reaction process, the polyaromatic moieties noncovalently bond with the carbon nanotubes. Additionally, the functional! zing moieties are further functionalized by the strong acid. This dual functional] zation allows the CNTs to be dispersed at concentrations greater than 0.5 g/L in solution without damaging their desirable electronic and physical properties. The resulting solutions are stable on the shelf for months without observable bundling, and can be incorporated into solutions for printing conductive traces by a variety of means, including inkjet, screen, tlexographic, gravure printing, or spin and spray coating.

Classes IPC  ?

  • H01B 1/24 - Matériau conducteur dispersé dans un matériau organique non conducteur le matériau conducteur comportant des compositions à base de carbone-silicium, du carbone ou du silicium
  • H01B 1/04 - Conducteurs ou corps conducteurs caractérisés par les matériaux conducteurs utilisés; Emploi de matériaux spécifiés comme conducteurs composés principalement soit de compositions à base de carbone-silicium, soit de carbone soit de silicium
  • C08L 101/12 - Compositions contenant des composés macromoléculaires non spécifiés caractérisées par des propriétés physiques, p.ex. anisotropie, viscosité ou conductivité électrique
  • C08L 65/00 - Compositions contenant des composés macromoléculaires obtenus par des réactions créant une liaison carbone-carbone dans la chaîne principale; Compositions contenant des dérivés de tels polymères 

52.

METHOD OF MAKING RADIATION-SENSITIVE SOL-GEL MATERIALS

      
Numéro d'application US2012033073
Numéro de publication 2012/142126
Statut Délivré - en vigueur
Date de dépôt 2012-04-11
Date de publication 2012-10-18
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lin, Qin
  • Wang, Yubao
  • Flaim, Tony D.

Abrégé

Radiation-sensitive sol-gel compositions are provided, along with methods of forming microelectronic structures and the structures thus formed. The compositions comprise a sol-gel compound and a base generator dispersed or dissolved in a solvent system. The sol-gel compound comprises recurring monomeric units comprising silicon with crosslinkable moieties bonded to the silicon. Upon exposure to radiation, the base generator generates a strong base, which crosslinks the sol-gel compound in the compositions to yield a crosslinked layer that is insoluble in developers or solvents. The unexposed portions of the layer can be removed to yield a patterned sol-gel layer. The invention can be used to form patterns from sol-gel materials comprising features having feature sizes of less than about 1 m.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

53.

PROCESSES TO PATTERN SMALL FEATURES FOR ADVANCED PATTERNING NEEDS

      
Numéro d'application US2011066910
Numéro de publication 2012/092139
Statut Délivré - en vigueur
Date de dépôt 2011-12-22
Date de publication 2012-07-05
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Washburn, Carlton Ashley
  • Lamb, James E., Iii
  • Brakensiek, Nickolas L.
  • Lin, Qin
  • Wang, Yubao
  • Krishnamurthy, Vandana
  • Scott, Claudia

Abrégé

Methods of forming microelectronic structure are provided. The methods comprise the formation of T-shaped structures using a controlled undercutting process, and the deposition of a selectively etchable composition into the undercut areas of the T-shaped structures. The T-shaped structures are subsequently removed to yield extremely small undercut-formed features that conform to the width and optionally the height of the undercut areas of the T-shaped structures. These methods can be combined with other conventional patterning methods to create structures having extremely small feature sizes regardless of the wavelength of light used for patterning.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

54.

MULTIPLE BONDING LAYERS FOR THIN-WAFER HANDLING

      
Numéro d'application US2011046751
Numéro de publication 2012/057893
Statut Délivré - en vigueur
Date de dépôt 2011-08-05
Date de publication 2012-05-03
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Zhong, Xing-Fu
  • Flaim, Tony, D.
  • Mccutcheon, Jeremy

Abrégé

Multiple bonding layer schemes that temporarily join semiconductor substrates are provided. In the inventive bonding scheme, at least one of the layers is directly in contact with the semiconductor substrate and at least two layers within the scheme are in direct contact with one another. The present invention provides several processing options as the different layers within the multilayer structure perform specific functions. More importantly, it will improve performance of the thin-wafer handling solution by providing higher thermal stability, greater compatibility with harsh backside processing steps, protection of bumps on the front side of the wafer by encapsulation, lower stress in the debonding step, and fewer defects on the front side.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale

55.

METHODS OF PRODUCING STRUCTURES USING A DEVELOPER-SOLUBLE LAYER WITH MULTILAYER TECHNOLOGY

      
Numéro d'application US2011046547
Numéro de publication 2012/018983
Statut Délivré - en vigueur
Date de dépôt 2011-08-04
Date de publication 2012-02-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Washburn, Carlton Ashley
  • Lamb, Iii, James E.
  • Smith, Brian
  • Furse, Justin Lee
  • Wang, Heping

Abrégé

Methods of forming microelectronic structures using multilayer processes are disclosed. The methods comprise the use of a developer-soluble protective layer adjacent the substrate surface in a multilayer stack to protect the substrate during pattern transfer. After etching, the pattern is transferred into the developer-soluble protective layer using a developer instead of etching required by previous methods. Conventional developer-soluble anti-reflective coatings and gap-fill materials can be used to form the protective layer. Custom layers with developer solubility can also be prepared. Microelectronic structures formed by the above processes are also disclosed.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

56.

METHOD AND APPARATUS FOR REMOVING A REVERSIBLY MOUNTED DEVICE WAFER FROM A CARRIER SUBSTRATE

      
Numéro d'application US2010041726
Numéro de publication 2011/162778
Statut Délivré - en vigueur
Date de dépôt 2010-07-12
Date de publication 2011-12-29
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Mccutcheon, Jeremy, W.
  • Brown, Robert, D.

Abrégé

New demounting methods and apparatuses for separating temporarily, permanently, or semi-permanently bonded substrates and articles formed from those methods and apparatuses are provided. The methods comprise demounting a device wafer from a carrier wafer or substrate that have only been strongly bonded at their outer perimeters. The edge bonds are chemically, mechanically, acoustically, or thermally softened, dissolved, or disrupted to allow the wafers to be easily separated with very low forces and at or near room temperature at the appropriate stage in the fabrication process. A clamp for facilitating separation of the bonded substrates is also provided.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

57.

SCRATCH-RESISTANT COATINGS FOR PROTECTING FRONT-SIDE CIRCUITRY DURING BACKSIDE PROCESSING

      
Numéro d'application US2010048899
Numéro de publication 2011/034897
Statut Délivré - en vigueur
Date de dépôt 2010-09-15
Date de publication 2011-03-24
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Yess, Kimberly, A.
  • Daily, Madison, M., Jr.
  • Flaim, Tony, D.

Abrégé

Scratch-resistant coatings for protecting front-side microelectromechanical and semiconductor device features during backside processing are provided, along with methods of using the same. The coatings are non-photosensitive, removable, and tolerate high processing temperatures. These coatings also eliminate the need for a separate etch stop layer in the device design. The coatings are formed from a composition comprising a component dissolved or dispersed in a solvent system. The component is selected from the group consisting of styrene-acrylonitrile copolymers and aromatic sulfone polymers.

Classes IPC  ?

  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • C08J 5/18 - Fabrication de bandes ou de feuilles
  • C09D 125/12 - Copolymères du styrène avec des nitriles non saturés

58.

ACID-ETCH RESISTANT, PROTECTIVE COATINGS

      
Numéro d'application US2010027937
Numéro de publication 2011/022087
Statut Délivré - en vigueur
Date de dépôt 2010-03-19
Date de publication 2011-02-24
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Tang, Tingji
  • Xu, Gu
  • Zhong, Xing-Fu
  • Hong, Wenbin
  • Flaim, Tony, D.
  • Yess, Kimberly
  • Trichur, Ramachandran, K.

Abrégé

New compositions and methods of using those compositions as protective layers during the production of semiconductor and MEMS devices are provided. The compositions comprise a cycloolefin copolymer dispersed or dissolved in a solvent system, and can be used to form layers that protect a substrate during acid etching and other processing and handling. The protective layer can be photosensitive or non-photosensitive, and can be used with or without a primer layer beneath the protective layer. Preferred primer layers comprise a basic polymer in a solvent system.

Classes IPC  ?

  • H01L 21/312 - Couches organiques, p.ex. couche photosensible

59.

ACID-SENSITIVE, DEVELOPER-SOLUBLE BOTTOM ANTI-REFLECTIVE COATINGS

      
Numéro d'application US2010024664
Numéro de publication 2010/096615
Statut Délivré - en vigueur
Date de dépôt 2010-02-19
Date de publication 2010-08-26
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Meador, Jim, D.
  • Lowes, Joyce, A.
  • Mercado, Ramil-Marcelo, L.

Abrégé

Acid-sensitive, developer-soluble bottom anti-reflective coating compositions are provided, along with methods of using such compositions and microelectronic structures formed thereof. The compositions preferably comprise a crosslinkable polymer dissolved or dispersed in a solvent system. The polymer preferably comprises recurring monomelic units having adamantyl groups. The compositions also preferably comprise a crosslinker, such as a vinyl ether crosslinking agent, dispersed or dissolved in the solvent system with the polymer. In some embodiments, the composition can also comprise a photoacid generator (PAG) and/or a quencher. The bottom anti- reflective coating compositions are thermally crosslinkable, but can be decrosslinked in the presence of an acid to be rendered developer soluble.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

60.

SPIN-ON SPACER MATERIALS FOR DOUBLE- AND TRIPLE-PATTERNING LITHOGRAPHY

      
Numéro d'application US2010020199
Numéro de publication 2010/080789
Statut Délivré - en vigueur
Date de dépôt 2010-01-06
Date de publication 2010-07-15
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lin, Qin
  • Puligadda, Rama
  • Claypool, James
  • Guerrero, Douglas, J.
  • Smith, Brian

Abrégé

Novel double- and triple-patterning methods are provided. The methods involve applying a shrinkable composition to a patterned template structure (e.g., a structure having lines) and heating the composition. The shrinkable composition is selected to possess properties that will cause it to shrink during heating, thus forming a conformal layer over the patterned template structure. The layer is then etched to leave behind pre-spacer structures, which comprise the features from the pattern with remnants of the shrinkable composition adjacent the feature sidewalls. The features are removed, leaving behind a doubled pattern. In an alternative embodiment, an extra etch step can be carried out prior to formation of the features on the template structure, thus allowing the pattern to be tripled rather than doubled.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • G03F 7/20 - Exposition; Appareillages à cet effet

61.

CYCLIC OLEFIN COMPOSITIONS FOR TEMPORARY WAFER BONDING

      
Numéro d'application US2009061633
Numéro de publication 2010/051212
Statut Délivré - en vigueur
Date de dépôt 2009-10-22
Date de publication 2010-05-06
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Hong, Wenbin
  • Bai, Dongshun
  • Flaim, Tony, D.
  • Puligadda, Rama

Abrégé

New compositions and methods of using those compositions as bonding compositions are provided. The compositions comprise a cycloolefin copolymer dispersed or dissolved in a solvent system, and can be used to bond an active wafer to a carrier wafer or substrate to assist in protecting the active wafer and its active sites during subsequent processing and handling. The compositions form bonding layers that are chemically and thermally resistant, but that can also be softened or dissolved to allow the wafers to slide or be pulled apart at the appropriate stage in the fabrication process.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

62.

PHOTOSENSITIVE HARDMASK FOR MICROLITHOGRAPHY

      
Numéro d'application US2009041282
Numéro de publication 2009/132023
Statut Délivré - en vigueur
Date de dépôt 2009-04-21
Date de publication 2009-10-29
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Hao
  • Mercado, Ramil-Marcelo, L.
  • Guerrero, Douglas, J.

Abrégé

New hardmask compositions comprising non-polymeric, metal-conlaining nanoparticles dispersed or dissolved in a solvent system and methods of using those compositions as hardmask lavcrs in microelectronic structures are provided. The compositions arc photosensitive and capable of being rendered developer soluble upon exposure to radiation. The inventive hardmask layer is patterned simultaneously with the photoresist layer and provides plasma etch resistance for subsequent pattern transfer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

63.

DUAL-LAYER LIGHT-SENSITIVE DEVELOPER-SOLUBLE BOTTOM ANTI-REFLECTIVE COATINGS FOR 193-NM LITHOGRAPHY

      
Numéro d'application US2009034540
Numéro de publication 2009/105556
Statut Délivré - en vigueur
Date de dépôt 2009-02-19
Date de publication 2009-08-27
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Meador, Jim
  • Guerrero, Douglas
  • Mercado, Ramil-Marcelo

Abrégé

The present invention provides methods of fabricating microelectronics structures and the resulting structures formed thereby using a dual-layer, light-sensitive, wet- developable bottom anti -reflective coating stack to reduce reflectance from the substrate during exposure. The invention provides dyc-fillcd and dye-attached compositions for use in the anti-reflective coatings. The anti-re ilecti ve coatings are thermally crosslinkable and photochcmically decrosslinkable. The bottom anti-reflective coating stack has gradient optical properties and develops at the same time as the photoresist. The method and structure are particularly suited to high-NA lithography processes.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

64.

ON-TRACK PROCESS FOR PATTERNING HARDMASK BY MULTIPLE DARK FIELD EXPOSURES

      
Numéro d'application US2009032446
Numéro de publication 2009/097436
Statut Délivré - en vigueur
Date de dépôt 2009-01-29
Date de publication 2009-08-06
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Sun, Sam, X.
  • Xu, Hao
  • Flaim, Tony, D.

Abrégé

This invention provides methods of creating via or trench structures on a developer- soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process.

Classes IPC  ?

  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

65.

METHOD FOR REVERSIBLY MOUNTING A DEVICE WAFER TO A CARRIER SUBSTRATE

      
Numéro d'application US2009031862
Numéro de publication 2009/094558
Statut Délivré - en vigueur
Date de dépôt 2009-01-23
Date de publication 2009-07-30
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Flaim, Tony, D.
  • Mccutcheon, Jeremy

Abrégé

New temporary bonding methods and articles formed from those methods are provided. The methods comprise bonding a device wafer to a carrier wafer or substrate only at their outer perimeters in order to assist in protecting the device wafer and its device sites during subsequent processing and handling. The edge bonds formed by this method are chemically and thermally resistant, but can also be softened, dissolved, or mechanically disrupted to allow the wafers to be easily separated with very low forces and at or near room temperature at the appropriate stage in the fabrication process.

Classes IPC  ?

  • H01L 23/12 - Supports, p.ex. substrats isolants non amovibles
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes

66.

PHOTOIMAGEABLE BRANCHED POLYMER

      
Numéro d'application US2008081831
Numéro de publication 2009/059031
Statut Délivré - en vigueur
Date de dépôt 2008-10-30
Date de publication 2009-05-07
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Hao
  • Mercado, Ramil-Marcelo, L.
  • Guerrero, Douglas, J.
  • Meador, Jim, D.

Abrégé

Novel, developer-soluble anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a multi-functional acid reacted with a multi-functional vinyl ether to form a branched polymer or oligomer. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light and post-exposure baking, the cured polymers/oligomers will decrosslink and depolymerize, rendering the layer soluble in typical photoresist developing solutions (e.g., alkaline developers).

Classes IPC  ?

  • C08G 65/30 - Traitement de post-polymérisation, p.ex. obtention, purification, séchage
  • C08G 65/48 - Polymères modifiés par post-traitement chimique
  • C08J 3/28 - Traitement par ondes énergétiques ou par rayonnement de particules
  • G03F 7/038 - Composés macromoléculaires rendus insolubles ou sélectivement mouillables
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet

67.

SPIN-ON PROTECTIVE COATINGS FOR WET-ETCH PROCESSING OF MICROELECTRONIC SUBSTRATES

      
Numéro d'application US2008074773
Numéro de publication 2009/035866
Statut Délivré - en vigueur
Date de dépôt 2008-08-29
Date de publication 2009-03-19
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Gu
  • Yess, Kimberly, A.
  • Flaim, Tony, D.

Abrégé

New protective coating layers for use in wet etch processes during the production of semiconductor and MEMS devices are provided. The layers include a primer layer, a first protective layer, and an optional second protective layer. The primer layer preferably comprises an organo silane compound in a solvent system. The first protective layer includes thermoplastic copolymers prepared from styrene, acrylonitrile, and compatible compounds such as monomers, oligomers, and polymers comprising epoxy groups; poly(styrene-co-allyl alcohol); and mixtures thereof. The second protective layer comprises a highly halogenated polymer such as a chlorinated polymer which may or may not be crosslinked upon heating.

Classes IPC  ?

  • C08L 25/04 - Homopolymères ou copolymères du styrène
  • C09D 125/04 - Homopolymères ou copolymères du styrène

68.

NON-COVALENTLY CROSSLINKABLE MATERIALS FOR PHOTOLITHOGRAPHY PROCESSES

      
Numéro d'application US2008071357
Numéro de publication 2009/018217
Statut Délivré - en vigueur
Date de dépôt 2008-07-28
Date de publication 2009-02-05
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Sullivan, Daniel, M.
  • Huang, Runhui
  • Neef, Charles, J.
  • Dai, Jinhua
  • Swope, Michael, B.

Abrégé

This invention describes compositions and methods of using non-covalently crosslinked resin coatings for lithographic applications. These materials are designed to undergo, after coating, a change that provides solvent resistance and, with some materials, simultaneous aqueous-base solubility. Non-covalent interactions allow for easier removal of these coatings than of covalcntly crosslinked materials. These types of materials are well-suited for trench and gap fill applications, as well as for anti-reflective coatings, spin-on carbon layers, and etch masks.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • C08F 212/04 - Monomères contenant un seul radical aliphatique non saturé contenant un cycle
  • C08F 236/06 - Butadiène
  • C08F 220/10 - Esters

69.

HIGH-TEMPERATURE SPIN-ON TEMPORARY BONDING COMPOSITIONS

      
Numéro d'application US2008068170
Numéro de publication 2009/003029
Statut Délivré - en vigueur
Date de dépôt 2008-06-25
Date de publication 2008-12-31
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Hong, Wenbin
  • Pillalamarri, Sunil, K.

Abrégé

New compositions and methods of using those compositions as bonding compositions are provided. The compositions are preferably thermoplastic and comprise imides, amideimides, and/or amideimide-siloxanes (either in polymeric or oligomeric form) dispersed or dissolved in a solvent system, and can be used to bond an active wafer to a carrier wafer or substrate to assist in protecting the active wafer and its active sites during subsequent processing and handling. The compositions form bonding layers that are chemically and thermally resistant, but that can also be softened to allow the wafers to slide apart at the appropriate stage in the fabrication process.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

70.

ALKALINE-RESISTANT NEGATIVE PHOTORESIST FOR SILICON WET-ETCH WITHOUT SILICON NITRIDE

      
Numéro d'application US2008054885
Numéro de publication 2008/127785
Statut Délivré - en vigueur
Date de dépôt 2008-02-25
Date de publication 2008-10-23
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Flaim, Tony, D.
  • Malhotra, Jyoti

Abrégé

A removable build box for a three dimensional printer comprises a build box tray defining a build chamber for part assembly and a material feed chamber for supplying powder material to the build chamber. The build and feed chambers have lower piston stops. A build chamber piston engages with the build chamber and with the build chamber piston stops at a lowermost position. A feed chamber piston engages with the feed chamber and with the feed chamber piston stops at a lowermost position. A quick connection coupling is between the build chamber piston and a build chamber z-axis actuator configured to move the build chamber piston when connected thereto. A quick connection coupling is between the feed chamber piston and a feed chamber z-axis actuator configured to move the feed chamber piston when connected thereto. The build box tray may be easily removed from the three dimensional printer.

Classes IPC  ?

71.

AMINE-ARRESTING ADDITIVES FOR MATERIALS USED IN PHOTOLITHOGRAPHIC PROCESSES

      
Numéro d'application US2008056536
Numéro de publication 2008/118634
Statut Délivré - en vigueur
Date de dépôt 2008-03-11
Date de publication 2008-10-02
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s) Weimer, Marc, W.

Abrégé

Novel, poison-blocking compositions and methods of using those compositions to form poison-blocking layers are provided. The compositions comprise a typical composition used in micralithographic processes, but with a poison-blocking additive included in that composition. The preferred additive is a compound comprising one or more blocked isocyanates. Upon heating to certain temperatures, the blocking group is released from the isocyanatc, leaving behind a moiety that is highly reactive with the poisonous amines generated by typical dielectric layers.

Classes IPC  ?

  • H01L 21/4763 - Dépôt de couches non isolantes, p.ex. conductrices, résistives sur des couches isolantes; Post-traitement de ces couches
  • C08G 18/80 - Polyisocyanates bloqués
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches

72.

ANTI-REFLECTIVE COATINGS USING VINYL ETHER CROSSLINKERS

      
Numéro d'application US2008051948
Numéro de publication 2008/109198
Statut Délivré - en vigueur
Date de dépôt 2008-01-24
Date de publication 2008-09-12
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Guerrero, Douglas, J.
  • Mercado, Ramil-Marcelo, L.

Abrégé

Novel, developer soluble anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a polymer and/or oligomer having acid functional groups and dissolved in a solvent system along with a crosslinker, a photoacid generator, and optionally a chromophore. The preferred acid functional group is a carboxylic acid, while the preferred crosslinker is a vinyl ether crosslinker. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light (and optionally a post exposure bake), the cured compositions will decrosslink, rendering them soluble in typical photoresist developing solutions (e.g., alkaline developers). In one embodiment, the compositions can be used to form ion implant areas in microelectronic substrates.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage

73.

CONTACT PLANARIZATION APPARATUS

      
Numéro d'application US2007085772
Numéro de publication 2008/079582
Statut Délivré - en vigueur
Date de dépôt 2007-11-28
Date de publication 2008-07-03
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Mccutcheon, Jeremy, W.
  • Brown, Robert, D.

Abrégé

A contact planarization apparatus includes a lower membrane assembly, an upper membrane assembly, a differential pressure assembly, and a curing or reflowing assembly. The lower membrane assembly supports a substrate to be planarized and biases it toward the upper membrane assembly under the influence of the pressure differential assembly. The upper membrane assembly planarizes the coating on the substrate under the influence of the differential pressure assembly and includes a flexible sheet which is supported above the substrate stage and below the curing or reflowing assembly via a vacuum force applied by the differential pressure assembly. The differential pressure assembly moves the lower and upper membrane assemblies relative to one another to planarize the coating on the substrate entirely through the application of vacuum and pressure forces. The differential pressure assembly includes a top pressure chamber positioned above the upper face of the upper sheet, a bottom pressure chamber positioned below the lower face of the lower sheet, and a central pressure chamber positioned generally between the lower face of the upper sheet and the upper face of the lower sheet.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

74.

HIGH-TEMPERATURE, SPIN-ON, BONDING COMPOSITIONS FOR TEMPORARY WAFER BONDING USING SLIDING APPROACH

      
Numéro d'application US2007079204
Numéro de publication 2008/045669
Statut Délivré - en vigueur
Date de dépôt 2007-09-21
Date de publication 2008-04-17
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Pillalamarri, Sunil, K.
  • Li, Chenghong

Abrégé

New compositions and methods of using those compositions as bonding compositions are provided. The compositions comprise a polymer dispersed or dissolved in a solvent system, and can be used to bond an active wafer to a earner wafer or substrate to assist in protecting the active wafer and its active sites during subsequent processing and handling. The compositions form bonding layers that are chemically and thermally resistant, but that can also be softened to allow the wafers to slide apart at the appropriate stage in the fabrication process.

Classes IPC  ?

  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

75.

METHOD OF CREATING PHOTOLITHOGRAPHIC STRUCTURES WITH DEVELOPER-TRIMMED HARD MASK

      
Numéro d'application US2007079217
Numéro de publication 2008/039705
Statut Délivré - en vigueur
Date de dépôt 2007-09-21
Date de publication 2008-04-03
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s) Sun, Sam, X.

Abrégé

Novel, developer-soluble, hard mask compositions and methods of using those compositions to form microelectronic structures are provided. The composition comprises the compound (INSERT FORMULA) a compound for controlling development rate, and a crosslinking agent in a solvent system. The methods involve applying the composition to a substrate and curing the composition. An imaging layer is applied to the composition, followed by light exposure and developing, during which the light-exposed portions of the imaging layer are removed, along with portions of the hard mask composition adjacent said light-exposed portions. The size of the hard mask composition structures are controlled by the development rate, and they yield feature sizes that are a fraction of the imaging layer feature sizes, to give a pattern that can ultimately be transferred to the substrate.

Classes IPC  ?

  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage

76.

ANTI-REFLECTIVE IMAGING LAYER FOR MULTIPLE PATTERNING PROCESS

      
Numéro d'application US2007076078
Numéro de publication 2008/022245
Statut Délivré - en vigueur
Date de dépôt 2007-08-16
Date de publication 2008-02-21
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Guerrero, Douglas, J.
  • Mercado, Ramil-Marcelo

Abrégé

Novel methods of double patterning a photosensitive resin composition are provided, The methods involve applying the photosensitive composition to a substrate and thermally crosslinking the composition. The crosslinked layer can be used to provide reflection control. Upon exposure to light, the crosslinked polymer (or oligomer or monomer) in the compositions will decrosslinlc, rendering the light-exposed portions soluble in typical photoresist developing solutions (e.g., alkaline developers). Advantageously, the crosslinked portions of the composition remain insoluble in the solvent used to form the photosensitive composition. As a result, the coating, lithographic, and or developing steps can be repeated multiple times in varying order, depending upon the particular process, without destroying earlier-formed patterns.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

77.

THERMAL-AND CHEMICAL-RESISTANT ACID PROTECTION COATING MATERIAL AND SPIN-ON THERMOPLASTIC ADHESIVE

      
Numéro d'application US2007061110
Numéro de publication 2007/092689
Statut Délivré - en vigueur
Date de dépôt 2007-01-26
Date de publication 2007-08-16
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Moore, John, C.
  • Fowler, Michelle, R.

Abrégé

New compositions for use as protective coatings and/or adhesives are provided. The compositions comprise a hydrocarbon resin (e.g., terpene rosin) and a rubber (e.g., EPDM) dispersed or dissolved in a solvent system. The solvent system is preferably a single-solvent system, and the compositions are preferably free of surfactants, dyes, and chromophores. The compositions can be cured or dried to form layers or films that are chemically and thermally resistant, but that can be readily dissolved and removed at the appropriate stage in the fabrication process.

Classes IPC  ?

  • C09J 121/00 - Adhésifs à base de caoutchoucs non spécifiés
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe

78.

PHOTOCURABLE, CONDUCTIVE, TRANSPARENT POLYMER COATINGS

      
Numéro d'application US2006041599
Numéro de publication 2007/061559
Statut Délivré - en vigueur
Date de dépôt 2006-10-24
Date de publication 2007-05-31
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s) Zhong, Xing-Fu

Abrégé

Photocurable, conductive, and transparent polymer coating compositions and methods of using the same are provided. The compositions include a photopolymer and an electrically conductive polymer dissolved or dispersed in a solvent system. Preferred photopolymers include water-miscible, multifunctional acrylates. Preferred electrically conductive polymers include &rgr;oly(3 ,4-ethylenedioxythiophene)/poly(styrene sulfonate) (PEDOT-PSS). The compositions preferably also contain a photoinitiator and may contain a water-immiscible acrylate and/or a surfactant. The compositions are applied to substrates and exposed to actinic radiation such as ultraviolet (UV) light to form a cured, durable, conductive, and transparent coating.

Classes IPC  ?

  • B32B 27/36 - Produits stratifiés composés essentiellement de résine synthétique comprenant des polyesters
  • B32B 17/10 - Produits stratifiés composés essentiellement d'une feuille de verre ou de fibres de verre, de scorie ou d'une substance similaire comprenant du verre comme seul composant ou comme composant principal d'une couche adjacente à une autre couche d'une substance spécifique de résine synthétique
  • B32B 27/00 - Produits stratifiés composés essentiellement de résine synthétique
  • B05D 5/12 - Procédés pour appliquer des liquides ou d'autres matériaux fluides aux surfaces pour obtenir des effets, finis ou des structures de surface particuliers pour obtenir un revêtement ayant des propriétés électriques spécifiques
  • B05D 1/40 - Distribution des liquides ou d'autres matériaux fluides, appliqués par des éléments se déplaçant par rapport à la surface à couvrir
  • B05D 3/02 - Traitement préalable des surfaces sur lesquelles des liquides ou d'autres matériaux fluides doivent être appliqués; Traitement ultérieur des revêtements appliqués, p.ex. traitement intermédiaire d'un revêtement déjà appliqué, pour préparer les applications ultérieures de liquides ou d'autres matériaux fluides par cuisson
  • B32B 27/08 - Produits stratifiés composés essentiellement de résine synthétique comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique d'une résine synthétique d'une sorte différente

79.

NEGATIVE PHOTORESIST FOR SILICON KOH ETCH WITHOUT SILICON NITRIDE

      
Numéro d'application US2006034817
Numéro de publication 2007/030593
Statut Délivré - en vigueur
Date de dépôt 2006-09-07
Date de publication 2007-03-15
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Li, Chenghong
  • Malhotra, Jyoti, K.

Abrégé

New photoresists for use during the production of semiconductor and MEMS devices are provided. The primer layer preferably comprises a silane dissolved or dispersed in a solvent system. The photoresist layer includes copolymers prepared from styrene, acrylonitrile, and epoxy-containing monomers. The photoresist layer comprises a photoacid generator, and is preferably negative-acting.

Classes IPC  ?

  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/027 - Composés photopolymérisables non macromoléculaires contenant des doubles liaisons carbone-carbone, p.ex. composés éthyléniques

80.

CURABLE HIGH REFRACTIVE INDEX RESINS FOR OPTOELECTRONIC APPLICATIONS

      
Numéro d'application US2005034270
Numéro de publication 2006/137884
Statut Délivré - en vigueur
Date de dépôt 2005-09-26
Date de publication 2006-12-28
Propriétaire BREWER, SCIENCE INC. (USA)
Inventeur(s)
  • Mercado, Ramil-Marcelo, L.
  • Morford, Robert, V.
  • Planje, Curtis
  • Perez, Willie
  • Flaim, Tony, D.
  • Bass, Taylor, R.

Abrégé

Novel compositions and methods of using those compositions to form high refractive index coatings are provided. The compositions preferably comprise both a reactive solvent and a high refractive index compound. Preferred reactive solvents include aromatic resins that are functionalized with one or more reactive groups (e.g., epoxides, vinyl ethers, oxetane), while preferred high refractive index compounds include aromatic epoxides, vinyl ethers, oxetanes, phenols, and thiols. An acid or crosslinking catalyst is preferably also included. The inventive compositions are stable under ambient conditions and can be applied to a substrate to form a layer and cured via light and/or heat application. The cured layers have high refractive indices and light transmissions.

Classes IPC  ?

  • G02B 1/00 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES Éléments optiques caractérisés par la substance dont ils sont faits; Revêtements optiques pour éléments optiques
  • G02B 1/10 - Revêtements optiques obtenus par application sur les éléments optiques ou par traitement de la surface de ceux-ci

81.

DEPOSITION OF POLYMERIC MATERIALS AND PRECURSORS THEREFOR

      
Numéro d'application US2006009347
Numéro de publication 2006/101902
Statut Délivré - en vigueur
Date de dépôt 2006-03-15
Date de publication 2006-09-28
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s) Senkevich, John, J.

Abrégé

Substituted paracyclophanes are particularly useful as precursors in the formation of a cross-linkable polymer on a deposition substrate such as an electronic device being processed. The paracyclophane precursor including a cross-linkable substituent such as an alkynyl is cracked at the phenyl linkages. The substrate is subjected to the*cracked precursor. As a result, an organic polymer is formed on the substrate. Cross-linking of the polymer through reaction, e.g. thermally induced reaction, of the cross-linkable substituents produces a thermally stable cross-linked polymer. The deposition of such cross-linked polymer is particularly useful for sealing ultra low k dielectric materials used in the damascene process in the production of integrated circuits. Alternatively the polymer is also advantageous as an adhesive in wafer-to-wafer bonding. Alternatively, the polymer is useful as a hardmask to replace silicon nitride and silicon carbide in the back-end-of-the-line processing of electronic devices.

Classes IPC  ?

  • C23C 16/00 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD)

82.

DEVICE FOR COATING THE OUTER EDGE OF A SUBSTRATE DURING MICROELECTRONICS MANUFACTURING

      
Numéro d'application US2005040433
Numéro de publication 2006/071363
Statut Délivré - en vigueur
Date de dépôt 2005-11-07
Date de publication 2006-07-06
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Brand, Gary, J.
  • Allen, Phillip, H.
  • Trichur, Ramachandran, K.

Abrégé

New baffles and methods of using these baffles are provided. The baffles comprise a body having an edge wall configured to direct the flow of a composition against a substrate (e.g., silicon wafer) edge. The edge wall comprises a vertical surface (38), a curved sidewall (42) coupled to the vertical surface, and a lip (40) coupled to the curved sidewall. A preferred baffle is annular in shape and formed from a synthetic resinous composition. Even more preferably, the baffle is not formed of a metal. The inventive methods comprise positioning the baffle adjacent a substrate during a spin coating process so that the edge wall causes the composition to cover the edges of the substrate and preferably a portion of the back side of the substrate.

Classes IPC  ?

  • B05C 11/02 - Appareils pour étaler ou répartir des liquides ou d'autres matériaux fluides déjà appliqués sur une surface; Réglage de l'épaisseur du revêtement
  • B05B 1/28 - Buses, têtes de pulvérisation ou autres dispositifs de sortie, avec ou sans dispositifs auxiliaires tels que valves, moyens de chauffage avec des moyens pour empêcher l'égouttement ou pour recueillir l'excès de liquide ou autre matériau fluide

83.

ANTI-REFLECTIVE COATINGS USING VINYL ETHER CROSSLINKERS

      
Numéro d'application US2005012851
Numéro de publication 2005/111719
Statut Délivré - en vigueur
Date de dépôt 2005-04-15
Date de publication 2005-11-24
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Guerrero, Douglas, J.
  • Cox, Robert, C.
  • Weimer, Marc, W.

Abrégé

Novel, wet developable anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a polymer and/or oligomer having acid functional groups and dissolved in a solvent system along with a crosslinker and a photoacid generator. The preferred acid functional group is a carboxylic acid, while the preferred crosslinker is a vinyl ether crosslinker. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light, the cured compositions will decrosslink, rendering them soluble in typical photoresist developing solutions (e.g., alkaline developers).

Classes IPC  ?

  • G03F 7/095 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires ayant plus d'une couche photosensible
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08J 3/24 - Réticulation, p.ex. vulcanisation, de macromolécules
  • C08J 3/28 - Traitement par ondes énergétiques ou par rayonnement de particules
  • C08J 5/18 - Fabrication de bandes ou de feuilles