Brewer Science Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 231 pour Brewer Science Inc. Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 195
        Marque 36
Juridiction
        États-Unis 130
        International 95
        Europe 5
        Canada 1
Date
Nouveautés (dernières 4 semaines) 1
2024 avril (MACJ) 1
2024 janvier 3
2024 (AACJ) 4
2023 9
Voir plus
Classe IPC
H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou 31
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension 22
G03F 7/20 - Exposition; Appareillages à cet effet 18
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 18
G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage 14
Voir plus
Classe NICE
01 - Produits chimiques destinés à l'industrie, aux sciences ainsi qu'à l'agriculture 30
09 - Appareils et instruments scientifiques et électriques 8
42 - Services scientifiques, technologiques et industriels, recherche et conception 6
35 - Publicité; Affaires commerciales 4
07 - Machines et machines-outils 1
Statut
En Instance 19
Enregistré / En vigueur 212
  1     2     3        Prochaine page

1.

EUV-INDUCED CONDENSATION OF POLYSILOXANE SOL-GEL THIN FILM

      
Numéro d'application 18483118
Statut En instance
Date de dépôt 2023-10-09
Date de la première publication 2024-04-25
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s) Sweat, Daniel Patrick

Abrégé

Methods for direct patterning of a silicon hardmask with extreme ultraviolet (EUV) radiation are provided. The method involves forming a polysiloxane and/or oligosiloxane composition into a silicon hardmask layer followed by solvent removal. Without using a photoresist and/or other layer silicon hardmask layer, condensation of the siloxane sol-gel polymers and/or oligomers is induced by EUV radiation, rendering the exposed portions insoluble in typical lithography solvents or developers. The exposed portions of the silicon hardmask layer are removed, leaving a pattern in the silicon hardmask layer that can be transferred to any layers below the silicon hardmask layer, and ultimately to the substrate.

Classes IPC  ?

  • G03F 7/075 - Composés contenant du silicium
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/34 - Dépouillement selon l'image par transfert sélectif, p.ex. par arrachement

2.

THERMALLY DECOMPOSABLE FILL MATERIAL

      
Numéro d'application 18354433
Statut En instance
Date de dépôt 2023-07-18
Date de la première publication 2024-01-25
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Sweat, Daniel Patrick
  • Brown, Shannon
  • Lowes, Joyce A.

Abrégé

Thermally decomposable gap-fill materials are disclosed that fill small features and are completely removed by a high-temperature bake after processing. These materials are self-crosslinkable polymers. Potential applications of these materials include use as sacrificial gap-fill materials for creating air gaps, as well as protection of high-aspect-ratio or other delicate microelectronic features during processing steps.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • G03F 7/027 - Composés photopolymérisables non macromoléculaires contenant des doubles liaisons carbone-carbone, p.ex. composés éthyléniques
  • H01L 23/528 - Configuration de la structure d'interconnexion

3.

DIFFERENTIAL CURRENT LIMITING FOR VOLTAMMETRY SENSOR LIFETIME EXTENSION

      
Numéro d'application US2022035897
Numéro de publication 2024/005837
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2024-01-04
Propriétaire
  • BREWER SCIENCE, INC. (USA)
  • DEPARTMENT OF THE ARMY (USA)
Inventeur(s) Fury, Jonathan J.

Abrégé

In one embodiment, a voltammetry sensor measurement system includes one or more potentiostats configured to transmit an electrical input to a working electrode of a voltammetry sensor and to measure an electrical output from the voltammetry sensor in response to the electrical input, the electrical input including a square wave electrical input, the measured electrical output including a differential current through the working electrode. A controller is coupled with the potentiostats to monitor, in real time, the differential current through the working electrode. The controller is configured to determine if the monitored differential current will exceed a preset differential current threshold of the voltammetry sensor, using a predictive algorithm based on the monitored differential current, before the differential current reaches the threshold; and to generate a signal when the monitored differential current is determined to exceed the threshold, to preserve the voltammetry sensor before the differential current reaches the threshold.

Classes IPC  ?

  • G01N 27/48 - Systèmes utilisant la polarographie, c. à d. la mesure des variations d'intensité sous une tension qui varie lentement
  • G01N 27/416 - Systèmes
  • G01N 27/30 - Composants de cellules électrolytiques Électrodes, p.ex. électrodes pour tests; Demi-cellules
  • G06Q 50/10 - Services
  • G08B 21/18 - Alarmes de situation
  • G08C 17/02 - Dispositions pour transmettre des signaux caractérisées par l'utilisation d'une voie électrique sans fil utilisant une voie radio

4.

DIFFERENTIAL CURRENT LIMITING FOR VOLTAMMETRY SENSOR LIFETIME EXTENSION

      
Numéro d'application 17855866
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2024-01-04
Propriétaire
  • Brewer Science, Inc. (USA)
  • Department of the Army (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Netchaev, Anton
  • Ray, Jason
  • Conley, Keith
  • Brown, Eric

Abrégé

In one embodiment, a voltammetry sensor measurement system includes one or more potentiostats configured to transmit an electrical input to a working electrode of a voltammetry sensor and to measure an electrical output from the voltammetry sensor in response to the electrical input, the electrical input including a square wave electrical input, the measured electrical output including a differential current through the working electrode. A controller is coupled with the potentiostats to monitor, in real time, the differential current through the working electrode. The controller is configured to determine if the monitored differential current will exceed a preset differential current threshold of the voltammetry sensor, using a predictive algorithm based on the monitored differential current, before the differential current reaches the threshold; and to generate a signal when the monitored differential current is determined to exceed the threshold, to preserve the voltammetry sensor before the differential current reaches the threshold.

Classes IPC  ?

  • G01N 27/416 - Systèmes
  • G01N 27/48 - Systèmes utilisant la polarographie, c. à d. la mesure des variations d'intensité sous une tension qui varie lentement

5.

COATING COMPOSITIONS AND METHODS TO ENHANCE SC-1 RESISTANCE

      
Numéro d'application 18177546
Statut En instance
Date de dépôt 2023-03-02
Date de la première publication 2023-09-07
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Sweat, Daniel Patrick
  • Koza, Jakub
  • Storie, Jamie

Abrégé

Adhesive additives are disclosed that enhance spin-on carbon (SOC) resistance to SC-1 wet etch. The additives can be formed by reacting a polymer or oligomer (such as an adhesion polymer or adhesion oligomer) with 3,4,5-triacetoxybenzoic acid (TABA). When added to standard SOC layers or used as a primer between an SOC layer and substrate, these additives enhance the adhesion of the SOC layer to TiN and other substrates and reduce undercut during SC-1 wet etch.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • C09D 163/04 - Epoxynovolaques
  • C08F 265/04 - Composés macromoléculaires obtenus par polymérisation de monomères sur des polymères d'acides monocarboxyliques non saturés ou de leurs dérivés tels que définis dans le groupe sur des polymères d'esters
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes

6.

LASER-RELEASABLE BONDING MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application 18162028
Statut En instance
Date de dépôt 2023-01-31
Date de la première publication 2023-06-15
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Prenger, Luke
  • Southard, Arthur O.
  • Wu, Qi
  • Liu, Xiao

Abrégé

Novel polyketanil-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, at wavelengths from about 300 nm to about 360 nm, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are resistant to common solvents used in semiconductor processing. The compositions can also be used as build-up layers for redistribution layer formation.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C08G 73/02 - Polyamines
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations

7.

ARSENIC DETECTOR AND METHOD OF USE

      
Numéro d'application 17856687
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2023-06-08
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Cao, Xi
  • Landorf, Christopher
  • Fury, Jonathan J.
  • Simmons, Cody
  • Bowen, Weston

Abrégé

Composites comprising metal-oxide-functionalized carbon nanotubes with metal nanoparticles deposited thereon are provided. These composites can be used as a working electrode in an electrochemical sensor to detect arsenite in aqueous solutions. The composite can electrochemically reduce As3+ to As0 due to increasing adsorption capability. In one embodiment, Au nanoparticles are deposited on the TiOx/CNT electrode to facilitate the adsorption of As3+ on the electrode surface for further electrochemical reduction process. Square wave voltammetry (SWV) is performed to detect the electrochemical reduction of arsenite in water.

Classes IPC  ?

  • G01N 27/30 - Composants de cellules électrolytiques Électrodes, p.ex. électrodes pour tests; Demi-cellules
  • G01N 27/333 - Electrodes ou membranes sélectives à l'égard des ions
  • G01N 33/18 - Eau

8.

PRINTABLE CARBON NANOTUBE-BASED CARBON DIOXIDE SENSOR

      
Numéro d'application 17745375
Statut En instance
Date de dépôt 2022-05-16
Date de la première publication 2023-03-02
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Chen, Zun
  • Landorf, Christopher
  • Kayastha, Vijaya

Abrégé

A carbon nanotube sensor device for detecting CO2 and methods of its production and use. A printable polyethylenimine (PEI)-functionalized carbon nanomaterial paste may be used to form the active sensing layer of the device, which is particularly sensitive to CO2. A separate printed heating layer may be used to maintain the working temperature of the sensor, as well as to remove and/or clear volatile gases from the sensor.

Classes IPC  ?

  • G01N 33/00 - Recherche ou analyse des matériaux par des méthodes spécifiques non couvertes par les groupes
  • G01N 27/414 - Transistors à effet de champ sensibles aux ions ou chimiques, c. à d. ISFETS ou CHEMFETS

9.

ELECTROCHEMICAL SENSORS FOR ANALYTE DETECTION IN WATER AND REFERENCE CORRECTION METHOD

      
Numéro d'application 17856816
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2023-01-19
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Cao, Xi
  • Peters, Austin
  • Lakin, Chad
  • Simmons, Cody
  • Neeson, Alec

Abrégé

A sensing platform for continuous water resource monitoring by electrochemical detection and solution parameter correction is provided. The sensing platform employs a solid-state electrolyte three-electrode cell, creating a high ionic strength environment within the solid-state electrolyte membrane, which is in ion exchange equilibria with the sampled solution. This device may be used as a standalone sensor in environments where the water parameters (pH temperature, and ionic strength) are controlled, or in concert with compensation sensors where water parameters are not controlled.

Classes IPC  ?

10.

IS-FET NITRATE SENSOR AND METHOD OF USE

      
Numéro d'application 17856932
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2023-01-05
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Cao, Xi
  • Landorf, Christopher
  • Chen, Zun
  • Neeson, Alec
  • Bowen, Weston
  • Peters, Austin

Abrégé

A carbon nanotube (CNT) ion-selective field effect transistor (IS-FET) integrated device is used to detect nitrate ion in water. The device is operated as an IS-FET sensor, holding the measured potential between the drain electrode and an external reference electrode constant with a potentiometric circuit. Transduction occurs by changes in the effective CNT film gate potential with changes in the phase boundary potential of an ion-selective membrane (ISM) film. Moreover, the nitrate ISM film makes the device highly selective towards nitrate sensing. This printable IS-FET nitrate sensor enables real-time and high-resolution measurements and recording of nitrate ion in water at low cost.

Classes IPC  ?

  • G01N 27/414 - Transistors à effet de champ sensibles aux ions ou chimiques, c. à d. ISFETS ou CHEMFETS
  • G01N 33/18 - Eau
  • G01N 27/02 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance

11.

ARSENIC DETECTOR AND METHOD OF USE

      
Numéro d'application US2022036003
Numéro de publication 2023/278866
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2023-01-05
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Cao, Xi
  • Landorf, Christopher
  • Fury, Jonathan J.
  • Simmons, Cody
  • Bowen, Weston

Abrégé

Composites comprising metal-oxide-functionalized carbon nanotubes with metal nanoparticles deposited thereon are provided. These composites can be used as a working electrode in an electrochemical sensor to detect arsenite in aqueous solutions. The composite can electrochemically reduce As3+to As0due to increasing adsorption capability. In one embodiment, Au nanoparticles are deposited on the TiOx/CNT electrode to facilitate the adsorption of As3+ on the electrode surface for further electrochemical reduction process. Square wave voltammetry (SWV) is performed to detect the electrochemical reduction of arsenite in water.

Classes IPC  ?

12.

ELECTROCHEMICAL SENSORS FOR ANALYTE DETECTION IN WATER AND REFERENCE CORRECTION METHOD

      
Numéro d'application US2022036019
Numéro de publication 2023/278877
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2023-01-05
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Cao, Xi
  • Peters, Austin
  • Lakin, Chad
  • Simmons, Cody
  • Neeson, Alec

Abrégé

A sensing platform for continuous water resource monitoring by electrochemical detection and solution parameter correction is provided. The sensing platform employs a solid-state electrolyte three-electrode cell, creating a high ionic strength environment within the solid-state electrolyte membrane, which is in ion exchange equilibria with the sampled solution. This device may be used as a standalone sensor in environments where the water parameters (pH temperature, and ionic strength) are controlled, or in concert with compensation sensors where water parameters are not controlled.

Classes IPC  ?

13.

IS-FET NITRATE SENSOR AND METHOD OF USE

      
Numéro d'application US2022036035
Numéro de publication 2023/278882
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de publication 2023-01-05
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Fury, Jonathan J.
  • Cao, Xi
  • Landorf, Christopher
  • Chen, Zun
  • Neeson, Alec
  • Bowen, Weston
  • Peters, Austin

Abrégé

A carbon nanotube (CNT) ion-selective field effect transistor (IS-FET) integrated device is used to detect nitrate ion in water. The device is operated as an IS-FET sensor, holding the measured potential between the drain electrode and an external reference electrode constant with a potentiometric circuit. Transduction occurs by changes in the effective CNT film gate potential with changes in the phase boundary potential of an ion-selective membrane (ISM) film. Moreover, the nitrate ISM film makes the device highly selective towards nitrate sensing. This printable IS- FET nitrate sensor enables real-time and high-resolution measurements and recording of nitrate ion in water at low cost.

Classes IPC  ?

  • G01N 27/414 - Transistors à effet de champ sensibles aux ions ou chimiques, c. à d. ISFETS ou CHEMFETS
  • G01N 27/30 - Composants de cellules électrolytiques Électrodes, p.ex. électrodes pour tests; Demi-cellules
  • G01N 27/333 - Electrodes ou membranes sélectives à l'égard des ions
  • G01N 33/18 - Eau

14.

TEMPORARY BONDING AND DEBONDING PROCESS TO PREVENT DEFORMATION OF METAL CONNECTION IN THERMOCOMPRESSION BONDING

      
Numéro d'application 17672020
Statut En instance
Date de dépôt 2022-02-15
Date de la première publication 2022-08-18
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Lee, Chia-Hsin
  • Guerrero, Alice
  • Southard, Arthur O.
  • Wu, Chen-Yu
  • Liu, Xiao

Abrégé

Achieving homogeneous and heterogeneous integration for 2.5D and 3D integrated circuit, chip-to-wafer, chip-to-substrate, or wafer-to-wafer bonding is an essential technology. The landing wafer or substrate is bonded with a carrier by using a temporary bonding material before thinning the landing wafer to the desired thickness. Upon completion of redistribution layer formation, Cu pad formation, or other backside processing, dies or wafers with through-silicon vias are stacked onto the landing substrate before molding and singulation. As the landing wafer usually has interconnection metals in the bond line, and those interconnection metals are typically made from lead-free solder alloys, deformation of those solder alloys during thermocompression bonding becomes an issue for manufacturers. To address this issue, a polymeric material with desired strengths is coated on the device wafer to form a conformal protective layer on top of solder alloys, thus enabling temporary bonding and debonding processes.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

15.

TEMPORARY BONDING AND DEBONDING PROCESS TO PREVENT DEFORMATION OF METAL CONNECTION IN THERMOCOMPRESSION BONDING

      
Numéro d'application US2022016459
Numéro de publication 2022/174191
Statut Délivré - en vigueur
Date de dépôt 2022-02-15
Date de publication 2022-08-18
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Lee, Chia-Hsin
  • Guerrero, Alice
  • Southard, Arthur O.
  • Wu, Chen-Yu
  • Liu, Xiao

Abrégé

Achieving homogeneous and heterogeneous integration for 2.5D and 3D integrated circuit, chip-to-wafer, chip-to-substrate, or wafer-to-wafer bonding is an essential technology. The landing wafer or substrate is bonded with a carrier by using a temporary bonding material before thinning the landing wafer to the desired thickness. Upon completion of redistribution layer formation, Cu pad formation, or other backside processing, dies or wafers with through-silicon vias are stacked onto the landing substrate before molding and singulation. As the landing wafer usually has interconnection metals in the bond line, and those interconnection metals are typically made from lead-free solder alloys, deformation of those solder alloys during thermocompression bonding becomes an issue for manufacturers. To address this issue, a polymeric material with desired strengths is coated on the device wafer to form a conformal protective layer on top of solder alloys, thus enabling temporary bonding and debonding processes.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

16.

CHEMICALLY HOMOGENEOUS SILICON HARDMASKS FOR LITHOGRAPHY

      
Numéro d'application US2021064982
Numéro de publication 2022/140621
Statut Délivré - en vigueur
Date de dépôt 2021-12-22
Date de publication 2022-06-30
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Chacko, Reuben T.
  • Ouattara, Tantiboro
  • Chacko, Andrea M.
  • Liang, Yichen
  • Brakensiek, Kelsey

Abrégé

Silicon hardmasks with a single-component polymer are disclosed. These hardmasks provide high optical homogeneity and high chemical homogeneity, thus minimizing or avoiding negative stochastic effects on feature critical dimension. The hardmasks further provide low porosity, higher density, and high silicon content and improve performance factors such as LER/LWR, defectivity, uniformity, and DoF.

Classes IPC  ?

  • G03F 7/075 - Composés contenant du silicium
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08G 77/50 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant du silicium, avec ou sans soufre, azote, oxygène ou carbone dans lesquels au moins deux atomes de silicium, mais pas la totalité, sont liés autrement que par des atomes d'oxygène par des liaisons au carbone
  • C08G 77/52 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant du silicium, avec ou sans soufre, azote, oxygène ou carbone dans lesquels au moins deux atomes de silicium, mais pas la totalité, sont liés autrement que par des atomes d'oxygène par des liaisons au carbone contenant des cycles aromatiques
  • C08G 77/26 - Polysiloxanes contenant du silicium lié à des groupes organiques contenant des atomes autres que le carbone, l'hydrogène et l'oxygène groupes contenant de l'azote
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

17.

CHEMICALLY HOMOGENEOUS SILICON HARDMASKS FOR LITHOGRAPHY

      
Numéro d'application 17559988
Statut En instance
Date de dépôt 2021-12-22
Date de la première publication 2022-06-23
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Chacko, Reuben T.
  • Ouattara, Tantiboro
  • Chacko, Andrea M.
  • Liang, Yichen
  • Brakensiek, Kelsey

Abrégé

Silicon hardmasks with a single-component polymer are disclosed. These hardmasks provide high optical homogeneity and high chemical homogeneity, thus minimizing or avoiding negative stochastic effects on feature critical dimension. The hardmasks further provide low porosity, higher density, and high silicon content and improve performance factors such as LER/LWR, defectivity, uniformity, and DoF.

Classes IPC  ?

  • C09D 183/04 - Polysiloxanes
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • C08G 77/20 - Polysiloxanes contenant du silicium lié à des groupes aliphatiques non saturés

18.

SELECTIVE LIQUIPHOBIC SURFACE MODIFICATION OF SUBSTRATES

      
Numéro d'application 17682175
Statut En instance
Date de dépôt 2022-02-28
Date de la première publication 2022-06-09
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce A.
  • Chacko, Reuben

Abrégé

Materials and methods for modifying semiconducting substrate surfaces in order to dramatically change surface energy are provided. Preferred materials include perfluorocarbon molecules or polymers with various functional groups. The functional groups (carboxylic acids, hydroxyls, epoxies, aldehydes, and/or thiols) attach materials to the substrate surface by physical adsorption or chemical bonding, while the perfluorocarbon components contribute to low surface energy. Utilization of the disclosed materials and methods allows rapid transformation of surface properties from hydrophilic to hydrophobic (water contact angle 120° and PGMEA contact angle) 70°. Selective liquiphobic modifications of copper over Si/SiOx, TiOx over Si/SiOx, and SiN over SiOx are also demonstrated.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C08F 220/68 - Esters
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/29 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par le matériau
  • C09D 133/16 - Homopolymères ou copolymères d'esters contenant des atomes d'halogène

19.

PHOTONIC DEBONDING FOR WAFER-LEVEL PACKAGING APPLICATIONS

      
Numéro d'application 17504272
Statut En instance
Date de dépôt 2021-10-18
Date de la première publication 2022-04-28
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Liu, Xiao
  • Prenger, Luke M.
  • Martinez, Xavier

Abrégé

A method is described for debonding a carrier and device substrate using a high-intensity, pulsed, broadband light system that is suitable for wafer-level packaging applications. The carrier substrate is a transparent wafer with a light absorbing layer on one side of the wafer. This method utilizes the high intensity light to rapidly heat up the light absorbing layer to decompose or melt a bonding material layer that is adjacent to the light absorbing layer. After exposure to light, the carrier substrate can be lifted off the surface of the device wafer with little or no force.

Classes IPC  ?

  • C09J 5/06 - Procédés de collage en général; Procédés de collage non prévus ailleurs, p.ex. relatifs aux amorces comprenant un chauffage de l'adhésif appliqué
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C08G 73/02 - Polyamines

20.

PermaSOL

      
Numéro d'application 1647929
Statut Enregistrée
Date de dépôt 2021-11-22
Date d'enregistrement 2021-11-22
Propriétaire Brewer Science, Inc. (USA)
Classes de Nice  ? 01 - Produits chimiques destinés à l'industrie, aux sciences ainsi qu'à l'agriculture

Produits et services

Chemicals for use in industry; chemical compositions, namely, bonding and release layered compositions used in the manufacture of microelectronic products; chemical compositions, namely, dielectric coating compositions used in the manufacture of microelectronic products.

21.

PHOTONIC DEBONDING FOR WAFER-LEVEL PACKAGING APPLICATIONS

      
Numéro d'application US2021055463
Numéro de publication 2022/040646
Statut Délivré - en vigueur
Date de dépôt 2021-10-18
Date de publication 2022-02-24
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Liu, Xiao
  • Prenger, Luke M.
  • Martinez, Xavier

Abrégé

A method is described for debonding a carrier and device substrate using a high-intensity, pulsed, broadband light system that is suitable for wafer-level packaging applications. The carrier substrate is a transparent wafer with a light absorbing layer on one side of the wafer. This method utilizes the high intensity light to rapidly heat up the light absorbing layer to decompose or melt a bonding material layer that is adjacent to the light absorbing layer. After exposure to light, the carrier substrate can be lifted off the surface of the device wafer with little or no force.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

22.

PERMANENT BONDING AND PATTERNING MATERIAL

      
Numéro d'application US2021046102
Numéro de publication 2022/036307
Statut Délivré - en vigueur
Date de dépôt 2021-08-16
Date de publication 2022-02-17
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Liu, Xiao
  • Huang, Baron
  • Sejoubsari, Reihaneh
  • Lee, Chia-Hsin

Abrégé

Methods are disclosed to prepare permanent materials that can be coated onto microelectronic substrates or used for other structural or optical applications. The permanent materials are thermally stable to at least 300°C, cure using a photo or thermal process, exhibit good chemical resistance (including during metal passivation), and have a lifespan of at least 5 years, preferably at least 10 years, in the final device. Advantageously, these materials can also be bonded at room temperature. The materials exhibit no movement or squeeze-out after bonding and adhere to a variety of substrate types. A chip-to-chip, chip-to-wafer, and/or wafer-to-wafer bonding method utilizing this material is also described.

Classes IPC  ?

  • H01L 21/52 - Montage des corps semi-conducteurs dans les conteneurs
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • C09J 7/00 - Adhésifs sous forme de films ou de pellicules
  • C09J 11/00 - Caractéristiques des adhésifs non prévues dans le groupe , p.ex. additifs

23.

PERMANENT BONDING AND PATTERNING MATERIAL

      
Numéro d'application 17402926
Statut En instance
Date de dépôt 2021-08-16
Date de la première publication 2022-02-17
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Liu, Xiao
  • Huang, Baron
  • Sejoubsari, Reihaneh
  • Lee, Chia-Hsin

Abrégé

Methods are disclosed to prepare permanent materials that can be coated onto microelectronic substrates or used for other structural or optical applications. The permanent materials are thermally stable to at least 300° C., cure using a photo or thermal process, exhibit good chemical resistance (including during metal passivation), and have a lifespan of at least 5 years, preferably at least 10 years, in the final device. Advantageously, these materials can also be bonded at room temperature. The materials exhibit no movement or squeeze-out after bonding and adhere to a variety of substrate types. A chip-to-chip, chip-to-wafer, and/or wafer-to-wafer bonding method utilizing this material is also described.

Classes IPC  ?

  • C08L 79/08 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • B81C 1/00 - Fabrication ou traitement de dispositifs ou de systèmes dans ou sur un substrat
  • G03F 7/039 - Composés macromoléculaires photodégradables, p.ex. réserves positives sensibles aux électrons
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/32 - Compositions liquides à cet effet, p.ex. développateurs
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • G03F 7/38 - Traitement avant le dépouillement selon l'image, p.ex. préchauffage

24.

SOLUBLE POLYIMIDES AND DIIMIDES FOR SPIN-ON CARBON APPLICATIONS

      
Numéro d'application US2021045164
Numéro de publication 2022/035734
Statut Délivré - en vigueur
Date de dépôt 2021-08-09
Date de publication 2022-02-17
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Huang, Runhui
  • Xu, Gu
  • Simmons, Sean
  • Sweat, Daniel
  • Koza, Jakub

Abrégé

A high-temperature-stable spin-on-carbon ("SOC") material that fills topography features on a substrate while planarizing the surface in a one-step, thin layer coating process is provided. The material comprises low molecular weight polyimides or diimides that are pre-imidized in solution rather than on the wafer. The SOC layers can survive harsh CVD conditions and are also SCI resistant, especially on TiN and SiOx surfaces.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08L 79/08 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08K 5/13 - Phénols; Phénolates
  • C08K 5/053 - Alcools polyhydroxyliques
  • C08K 5/49 - Composés contenant du phosphore
  • C08G 73/10 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

25.

SOLUBLE POLYIMIDES AND DIIMIDES FOR SPIN-ON CARBON APPLICATIONS

      
Numéro d'application 17396167
Statut En instance
Date de dépôt 2021-08-06
Date de la première publication 2022-02-10
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Huang, Runhui
  • Xu, Gu
  • Simmons, Sean
  • Sweat, Daniel
  • Koza, Jakub

Abrégé

A high-temperature-stable spin-on-carbon (“SOC”) material that fills topography features on a substrate while planarizing the surface in a one-step, thin layer coating process is provided. The material comprises low molecular weight polyimides or diimides that are pre-imidized in solution rather than on the wafer. The SOC layers can survive harsh CVD conditions and are also SC1 resistant, especially on TiN and SiOx surfaces.

Classes IPC  ?

  • C08G 73/10 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • C09D 179/08 - Polyimides; Polyesterimides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • G03F 7/09 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires
  • G03F 7/039 - Composés macromoléculaires photodégradables, p.ex. réserves positives sensibles aux électrons
  • G03F 7/038 - Composés macromoléculaires rendus insolubles ou sélectivement mouillables
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

26.

MULTIFUNCTIONAL MATERIALS FOR TEMPORARY BONDING

      
Numéro d'application US2021029322
Numéro de publication 2021/222194
Statut Délivré - en vigueur
Date de dépôt 2021-04-27
Date de publication 2021-11-04
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Prenger, Luke, M.
  • Wu, Qi
  • Liu, Xiao

Abrégé

The materials and methods disclosed can be used for applications such as temporary bond and debond of semiconductor and display substrates. These materials have sufficiently low melt rheologies to be used as a bonding layer and can crosslink/cure to allow for reduction in material flow over long periods of time. This class of materials also incorporates the ability to be used as a single-layer system for debonding purposes and typically uses laser debonding for its release mechanism. These materials also allow for solvent cleanability using very mild acidic conditions instead of the typical harsh conditions used on curable layers.

Classes IPC  ?

  • C09J 5/06 - Procédés de collage en général; Procédés de collage non prévus ailleurs, p.ex. relatifs aux amorces comprenant un chauffage de l'adhésif appliqué
  • C09J 4/00 - Adhésifs à base de composés non macromoléculaires organiques ayant au moins une liaison non saturée carbone-carbone polymérisable
  • C09J 179/00 - Adhésifs à base de composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant uniquement de l'azote, avec ou sans oxygène ou carbone, non prévus dans les groupes

27.

MULTIFUNCTIONAL MATERIALS FOR TEMPORARY BONDING

      
Numéro d'application 17241174
Statut En instance
Date de dépôt 2021-04-27
Date de la première publication 2021-10-28
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Prenger, Luke M.
  • Wu, Qi
  • Liu, Xiao

Abrégé

The materials and methods disclosed can be used for applications such as temporary bond and debond of semiconductor and display substrates. These materials have sufficiently low melt rheologies to be used as a bonding layer and can crosslink/cure to allow for reduction in material flow over long periods of time. This class of materials also incorporates the ability to be used as a single-layer system for debonding purposes and typically uses laser debonding for its release mechanism. These materials also allow for solvent cleanability using very mild acidic conditions instead of the typical harsh conditions used on curable layers.

Classes IPC  ?

  • C08G 73/00 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant de l'azote, avec ou sans oxygène ou carbone, non prévus dans les groupes
  • C09J 179/00 - Adhésifs à base de composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant uniquement de l'azote, avec ou sans oxygène ou carbone, non prévus dans les groupes
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations
  • B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives
  • B32B 17/06 - Produits stratifiés composés essentiellement d'une feuille de verre ou de fibres de verre, de scorie ou d'une substance similaire comprenant du verre comme seul composant ou comme composant principal d'une couche adjacente à une autre couche d'une substance spécifique
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

28.

PRINTABLE DISPERSION WITH TUNABLE VISCOSITY

      
Numéro d'application US2021023450
Numéro de publication 2021/194952
Statut Délivré - en vigueur
Date de dépôt 2021-03-22
Date de publication 2021-09-30
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Chen, Zun
  • Fury, Jonathan J.
  • Neeson, Alec

Abrégé

A copolymer of polytetrafluoroethylene and a perfluoro acid (e.g., Nafion™) is neutralized by a base to yield its corresponding salt, and a high-boiling-point compatible solvent is used as a substituting solvent, with the original, low-boiling-point solvent being removed by rotor evaporation. The resulting dispersion is screen printable, and its viscosity is controllable by adjusting its solids content. This screen-printable salt dispersion is especially useful in printed electronics applications such as sensors.

Classes IPC  ?

  • C08L 27/18 - Homopolymères ou copolymères du tétrafluoro-éthylène
  • C08J 3/02 - Production de solutions, dispersions, latex ou gel par d'autres procédés que ceux utilisant les techniques de polymérisation en solution, en émulsion ou en suspension
  • C08F 214/26 - Tétrafluoro-éthylène
  • C08F 8/32 - Introduction d'atomes d'azote ou de groupes contenant de l'azote par réaction avec des amines
  • C08F 8/36 - Sulfonation; Sulfatation
  • C09D 11/30 - Encres pour l'impression à jet d'encre
  • C09D 11/03 - Encres d’imprimerie caractérisées par des particularités autres que la nature chimique du liant

29.

PRINTABLE DISPERSION WITH TUNABLE VISCOSITY

      
Numéro d'application 17208386
Statut En instance
Date de dépôt 2021-03-22
Date de la première publication 2021-09-23
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Chen, Zun
  • Fury, Jonathan J.
  • Neeson, Alec

Abrégé

A copolymer of polytetrafluoroethylene and a perfluoro acid (e.g., Nafion™) is neutralized by a base to yield its corresponding salt, and a high-boiling-point compatible solvent is used as a substituting solvent, with the original, low-boiling-point solvent being removed by rotor evaporation. The resulting dispersion is screen printable, and its viscosity is controllable by adjusting its solids content. This screen-printable salt dispersion is especially useful in printed electronics applications such as sensors.

Classes IPC  ?

  • C09D 11/106 - Encres d’imprimerie à base de résines artificielles contenant des composés macromoléculaires obtenus par des réactions faisant intervenir uniquement des liaisons non saturées carbone-carbone
  • C09D 11/033 - Encres d’imprimerie caractérisées par des particularités autres que la nature chimique du liant caractérisées par le solvant
  • C08F 214/18 - Monomères contenant du fluor
  • C08F 214/26 - Tétrafluoro-éthylène
  • B41M 1/12 - Impression au stencil; Impression à trame de soie
  • B41M 5/00 - Procédés de reproduction ou méthodes de reproduction ou de marquage; Matériaux en feuilles utilisés à cet effet
  • B41M 1/30 - Impression sur d'autres surfaces que le papier ordinaire sur matières plastiques organiques, corne ou matières analogues

30.

PERMASOL

      
Numéro de série 90819358
Statut Enregistrée
Date de dépôt 2021-07-09
Date d'enregistrement 2022-07-19
Propriétaire Brewer Science, Inc. ()
Classes de Nice  ? 01 - Produits chimiques destinés à l'industrie, aux sciences ainsi qu'à l'agriculture

Produits et services

Chemicals for use in industry; Chemical compositions, namely, bonding and release layered compositions used in the manufacture of microelectronic products; chemical compositions, namely, dialectric coating compositions used in the manufacture of microelectronic products

31.

High-silicon-content wet-removable planarizing layer

      
Numéro d'application 17079916
Numéro de brevet 11817317
Statut Délivré - en vigueur
Date de dépôt 2020-10-26
Date de la première publication 2021-04-29
Date d'octroi 2023-11-14
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Luo, Ming
  • Wang, Yubao
  • Sakavuyi, Kaumba
  • Krishnamurthy, Vandana

Abrégé

Lithographic compositions for use as wet-removable silicon gap fill layers are provided. The method of using these compositions involves utilizing a silicon gap fill layer over topographic features on a substrate. The silicon gap fill layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred silicon gap fill layers are formed from spin-coatable, polymeric compositions with high silicon content, and these layers exhibit good gap fill and planarization performance and high oxygen etch resistance.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • G03F 1/46 - Couches antiréfléchissantes
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

32.

HIGH-SILICON-CONTENT WET-REMOVABLE PLANARIZING LAYER

      
Numéro d'application US2020057317
Numéro de publication 2021/081482
Statut Délivré - en vigueur
Date de dépôt 2020-10-26
Date de publication 2021-04-29
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Luo, Ming
  • Wang, Yubao
  • Sakavuyi, Kaumba
  • Krishnamurthy, Vandana

Abrégé

Lithographic compositions for use as wet-removable silicon gap fill layers are provided. The method of using these compositions involves utilizing a silicon gap fill layer over topographic features on a substrate. The silicon gap fill layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred silicon gap fill layers are formed from spin-coatable, polymeric compositions with high silicon content, and these layers exhibit good gap fill and planarization performance and high oxygen etch resistance.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/31 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

33.

Selective liquiphobic surface modification of substrates

      
Numéro d'application 16865159
Numéro de brevet 11574805
Statut Délivré - en vigueur
Date de dépôt 2020-05-01
Date de la première publication 2021-03-18
Date d'octroi 2023-02-07
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce A.
  • Chacko, Reuben

Abrégé

Materials and methods for modifying semiconducting substrate surfaces in order to dramatically change surface energy are provided. Preferred materials include perfluorocarbon molecules or polymers with various functional groups. The functional groups (carboxylic acids, hydroxyls, epoxies, aldehydes, and/or thiols) attach materials to the substrate surface by physical adsorption or chemical bonding, while the perfluorocarbon components contribute to low surface energy. Utilization of the disclosed materials and methods allows rapid transformation of surface properties from hydrophilic to hydrophobic (water contact angle 120° and PGMEA contact angle) 70°. Selective liquiphobic modifications of copper over Si/SiOx, TiOx over Si/SiOx, and SiN over SiOx are also demonstrated.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C08F 220/68 - Esters
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/29 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par le matériau
  • C09D 133/16 - Homopolymères ou copolymères d'esters contenant des atomes d'halogène

34.

SELECTIVE LIQUIPHOBIC SURFACE MODIFICATION OF SUBSTRATES

      
Numéro d'application US2020031607
Numéro de publication 2021/050116
Statut Délivré - en vigueur
Date de dépôt 2020-05-06
Date de publication 2021-03-18
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce, A.
  • Chacko, Reuben

Abrégé

Materials and methods for modifying semiconducting substrate surfaces in order to dramatically change surface energy are provided. Preferred materials include perfluorocarbon molecules or polymers with various functional groups. The functional groups (carboxylic acids, hydroxyls, epoxies, aldehydes, and/or thiols) attach materials to the substrate surface by physical adsorption or chemical bonding, while the perfluorocarbon components contribute to low surface energy. Utilization of the disclosed materials and methods allows rapid transformation of surface properties from hydrophilic to hydrophobic (water contact angle 120° and PGMEA contact angle 70°). Selective liquiphobic modifications of copper over Si/SiOx, TiOx over Si/SiOx, and SiN over SiOx are also demonstrated.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

35.

INFLECT

      
Numéro d'application 1579191
Statut Enregistrée
Date de dépôt 2021-01-26
Date d'enregistrement 2021-01-26
Propriétaire Brewer Science, Inc. (USA)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Temperature sensors; pressure sensors; humidity sensors; moisture sensors; sensor chips for scientific use; sensors for the determination of properties of liquids; vibration sensors; sensors for measuring gas properties, not for medical use; sensors for measuring water properties, not for medical use; sensors for measuring varying angles of deflection, not for medical use; sensors for measuring moisture, not for medical use; downloadable computer software for the collecting, reading and processing data sent from sensors.

36.

UNDERLAYERS FOR EUV LITHOGRAPHY

      
Numéro d'application US2020047315
Numéro de publication 2021/035108
Statut Délivré - en vigueur
Date de dépôt 2020-08-21
Date de publication 2021-02-25
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Liang, Yichen
  • Chacko, Andrea M.
  • Wang, Yubao
  • Guerrero, Douglas J.

Abrégé

New lithographic compositions for use as EUV silicon hardmask layers are provided. The present invention provides methods of fabricating microelectronic structures and the resulting structures formed thereby using EUV lithographic processes. The method involves utilizing a silicon hardmask layer immediately below the photoresist layer. The silicon hardmask layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred silicon hardmask layers are formed from spin-coatable, polymeric compositions. The inventive method improves adhesion and reduces or eliminates pattern collapse issues.

Classes IPC  ?

  • G03F 7/075 - Composés contenant du silicium
  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • C08G 77/14 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène
  • C08G 77/18 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène à des groupes alcoxyle ou aryloxyle
  • C08G 77/26 - Polysiloxanes contenant du silicium lié à des groupes organiques contenant des atomes autres que le carbone, l'hydrogène et l'oxygène groupes contenant de l'azote

37.

Underlayers for EUV lithography

      
Numéro d'application 16999223
Numéro de brevet 11361967
Statut Délivré - en vigueur
Date de dépôt 2020-08-21
Date de la première publication 2021-02-25
Date d'octroi 2022-06-14
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Liang, Yichen
  • Chacko, Andrea M.
  • Wang, Yubao
  • Guerrero, Douglas J.

Abrégé

New lithographic compositions for use as EUV silicon hardmask layers are provided. The present invention provides methods of fabricating microelectronic structures and the resulting structures formed thereby using EUV lithographic processes. The method involves utilizing a silicon hardmask layer immediately below the photoresist layer. The silicon hardmask layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred silicon hardmask layers are formed from spin-coatable, polymeric compositions. The inventive method improves adhesion and reduces or eliminates pattern collapse issues.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

38.

PERMANENT BONDING AND PATTERNING MATERIAL

      
Numéro d'application US2020044634
Numéro de publication 2021/026035
Statut Délivré - en vigueur
Date de dépôt 2020-07-31
Date de publication 2021-02-11
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Sejoubsari, Reihaneh
  • Flaim, Tony D.
  • Liu, Xiao

Abrégé

Methods are disclosed to prepare permanent materials that can be coated onto microelectronic substrates or used for other structural or optical applications. The materials are thermally stable to at least about 300°C, curable using a photo or thermal process, exhibit good chemical resistance (including during metal passivation), and have a lifespan of at least about 5 years, preferably at least about 10 years, in the final device. Advantageously, these materials can also be bonded at room temperature. The materials exhibit no movement or squeeze-out after bonding and adhere to a variety of substrate types.

Classes IPC  ?

  • C09J 201/08 - Groupes carboxyle
  • C09J 11/00 - Caractéristiques des adhésifs non prévues dans le groupe , p.ex. additifs
  • C08K 5/12 - Esters; Ether-esters d'acides polycarboxyliques cycliques
  • C08K 5/00 - Emploi d'ingrédients organiques
  • B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives

39.

INFLECT

      
Numéro d'application 208925200
Statut Enregistrée
Date de dépôt 2021-01-26
Date d'enregistrement 2023-09-06
Propriétaire Brewer Science, Inc. (USA)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

(1) Temperature sensors; pressure sensors; humidity sensors; moisture sensors; sensor chips for scientific use namely sensing temperature, humidity, flex/strain and detecting analytes in water and in air; sensors for the determination of properties of liquids; vibration sensors; sensors for measuring gas properties, not for medical use; sensors for measuring water properties, not for medical use; sensors for measuring varying angles of deflection, not for medical use; sensors for measuring moisture, not for medical use; downloadable computer software for the collecting, reading and processing data sent from sensors, namely resistance, impedance, conductivity measurement.

40.

INFLECT

      
Numéro de série 90167847
Statut Enregistrée
Date de dépôt 2020-09-09
Date d'enregistrement 2021-05-11
Propriétaire Brewer Science, Inc. ()
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Temperature sensors; pressure sensors; humidity sensors; moisture sensors; sensor chips for scientific use; sensors for the determination of properties of liquids; vibration sensors; sensors for measuring gas properties, not for medical use; sensors for measuring water properties, not for medical use; sensors for measuring varying angles of deflection, not for medical use; sensors for measuring moisture, not for medical use; downloadable computer software for the collecting, reading and processing data sent from sensors

41.

POLY(CYANOCINNAMATE)S FOR STRUCTURAL AND OPTICAL APPLICATIONS

      
Numéro d'application US2020017300
Numéro de publication 2020/163765
Statut Délivré - en vigueur
Date de dépôt 2020-02-07
Date de publication 2020-08-13
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Flaim, Tony, D.
  • Xu, Gu
  • See, Jennifer, S.

Abrégé

Methods of preparing poly(cyanocinnamate)s are provided, with those involving mild conditions and resulting in a soluble polymer that is stable at room temperature and can be coated onto microelectronic substrates. The polymer includes at least one bis(cyanoacetate) monomer and at least one aromatic dialdehyde monomer. The polymer exhibits good thermal and structural properties and high absorbance in the UV range.

Classes IPC  ?

  • G03F 7/027 - Composés photopolymérisables non macromoléculaires contenant des doubles liaisons carbone-carbone, p.ex. composés éthyléniques
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

42.

POLY(CYANOCINNAMATE)S FOR STRUCTURAL AND OPTICAL APPLICATIONS

      
Numéro d'application 16785163
Statut En instance
Date de dépôt 2020-02-07
Date de la première publication 2020-08-13
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Flaim, Tony D.
  • Xu, Gu
  • See, Jennifer S.

Abrégé

Methods of preparing poly(cyanocinnamate)s are provided, with those involving mild conditions and resulting in a soluble polymer that is stable at room temperature and can be coated onto microelectronic substrates. The polymer includes at least one bis(cyanoacetate) monomer and at least one aromatic dialdehyde monomer. The polymer exhibits good thermal and structural properties and high absorbance in the UV range.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • C08G 16/02 - Polymères de condensation d'aldéhydes ou de cétones avec des monomères non prévus dans les groupes d'aldéhydes
  • C08F 222/32 - Acide alpha-cyanoacrylique; Ses esters
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet

43.

LASER-RELEASABLE BONDING MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application US2020014272
Numéro de publication 2020/154225
Statut Délivré - en vigueur
Date de dépôt 2020-01-20
Date de publication 2020-07-30
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Prenger, Luke
  • Southard, Arthur O.
  • Wu, Qi
  • Liu, Xiao

Abrégé

Novel polyketanil-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, at wavelengths from about 300 nm to about 360 nm, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are resistant to common solvents used in semiconductor processing. The compositions can also be used as build-up layers for redistribution layer formation.

Classes IPC  ?

  • C09J 7/40 - Adhésifs sous forme de films ou de pellicules caractérisés par des couches antiadhésives
  • C08J 7/04 - Revêtement
  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • C08L 61/20 - Polymères de condensation obtenus uniquement à partir d'aldéhydes ou de cétones avec des composés contenant de l'hydrogène lié à l'azote
  • C08G 12/08 - Amines aromatiques
  • C03C 17/00 - Traitement de surface du verre, p.ex. du verre dévitrifié, autre que sous forme de fibres ou de filaments, par revêtement

44.

Laser-releasable bonding materials for 3-D IC applications

      
Numéro d'application 16747271
Numéro de brevet 11610801
Statut Délivré - en vigueur
Date de dépôt 2020-01-20
Date de la première publication 2020-07-23
Date d'octroi 2023-03-21
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Prenger, Luke
  • Southard, Arthur O.
  • Wu, Qi
  • Liu, Xiao

Abrégé

Novel polyketanil-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, at wavelengths from about 300 nm to about 360 nm, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are resistant to common solvents used in semiconductor processing. The compositions can also be used as build-up layers for redistribution layer formation.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C08G 73/02 - Polyamines
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations

45.

BOTTOM-UP CONFORMAL COATING AND PHOTOPATTERNING ON PAG-IMMOBILIZED SURFACES

      
Numéro d'application US2019059481
Numéro de publication 2020/092963
Statut Délivré - en vigueur
Date de dépôt 2019-11-01
Date de publication 2020-05-07
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce A.
  • Jones, Carissa

Abrégé

Materials and methods to immobilize photoacid generators on semiconducting substrates are provided. PAG-containing monomers are copolymerized with monomers to allow the polymer to bind to a surface, and optionally copolymerized with monomers to enhance solubility to generate PAG-containing polymers. The PAG-containing monomers can be coated onto a surface, where the immobilized PAGs can then be used to pattern materials coated on top of the immobilized PAGs, allowing direct patterning without the use of a photoresist, thereby reducing process steps and cost. The disclosed materials and processes can be used to produce conformal coatings of controlled thicknesses.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G03F 7/09 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/34 - Dépouillement selon l'image par transfert sélectif, p.ex. par arrachement
  • G03F 7/42 - Elimination des réserves ou agents à cet effet
  • G03F 7/021 - Composés de diazonium macromoléculaires; Additifs macromoléculaires, p.ex. liants
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

46.

Bottom-up conformal coating and photopatterning on PAG-immobilized surfaces

      
Numéro d'application 16671426
Numéro de brevet 11768435
Statut Délivré - en vigueur
Date de dépôt 2019-11-01
Date de la première publication 2020-05-07
Date d'octroi 2023-09-26
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce A.
  • Jones, Carissa

Abrégé

Materials and methods to immobilize photoacid generators on semiconducting substrates are provided. PAG-containing monomers are copolymerized with monomers to allow the polymer to bind to a surface, and optionally copolymerized with monomers to enhance solubility to generate PAG-containing polymers. The PAG-containing monomers can be coated onto a surface, where the immobilized PAGs can then be used to pattern materials coated on top of the immobilized PAGs, allowing direct patterning without the use of a photoresist, thereby reducing process steps and cost. The disclosed materials and processes can be used to produce conformal coatings of controlled thicknesses.

Classes IPC  ?

  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/095 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires ayant plus d'une couche photosensible
  • C08F 212/08 - Styrène
  • C08F 220/28 - Esters contenant de l'oxygène en plus de l'oxygène de la fonction carboxyle ne contenant pas de cycles aromatiques dans la partie alcool
  • G03F 7/34 - Dépouillement selon l'image par transfert sélectif, p.ex. par arrachement
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • C08F 220/38 - Esters contenant du soufre

47.

ADHESION LAYERS FOR EUV LITHOGRAPHY

      
Numéro d'application US2019036791
Numéro de publication 2019/241402
Statut Délivré - en vigueur
Date de dépôt 2019-06-12
Date de publication 2019-12-19
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Chacko, Andrea M.
  • Krishnamurthy, Vandana
  • Liang, Yichen
  • Lee, Hao
  • Grannemann, Stephen
  • Guerrero, Douglas J.

Abrégé

New lithographic compositions for use as EUV adhesion layers are provided. The present invention provides methods of fabricating microelectronics structures using those compositions as well as structures formed by those methods. The method involves utilizing an adhesion layer immediately below the photoresist layer. The adhesion layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate, such as an alpha-carbon, spin-on carbon, spin-on silicon hardmask, metal hardmask, or deposited silicon layer. The preferred adhesion layers are formed from spin-coatable, polymeric compositions. The inventive method improves adhesion and reduces or eliminates pattern collapse issues.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/32 - Compositions liquides à cet effet, p.ex. développateurs
  • G03F 7/004 - Matériaux photosensibles
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

48.

ADHESION LAYERS FOR EUV LITHOGRAPHY

      
Numéro d'application 16439377
Statut En instance
Date de dépôt 2019-06-12
Date de la première publication 2019-12-19
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Chacko, Andrea M.
  • Krishnamurthy, Vandana
  • Liang, Yichen
  • Lee, Hao
  • Grannemann, Stephen
  • Guerrero, Douglas J.

Abrégé

New lithographic compositions for use as EUV adhesion layers are provided. The present invention provides methods of fabricating microelectronics structures using those compositions as well as structures formed by those methods. The method involves utilizing an adhesion layer immediately below the photoresist layer. The adhesion layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate, such as an alpha-carbon, spin-on carbon, spin-on silicon hardmask, metal hardmask, or deposited silicon layer. The preferred adhesion layers are formed from spin-coatable, polymeric compositions. The inventive method improves adhesion and reduces or eliminates pattern collapse issues.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • G03F 1/24 - Masques en réflexion; Leur préparation
  • G03F 7/20 - Exposition; Appareillages à cet effet

49.

GRADIENT BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2018051333
Numéro de publication 2019/152078
Statut Délivré - en vigueur
Date de dépôt 2018-09-17
Date de publication 2019-08-08
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Xu, Kui
  • Daugherty Jr., Richard Elsworth
  • Sweat, Daniel Patrick
  • Hockey, Mary Ann
  • Calderas, Eric
  • Bennett, Megan

Abrégé

Novel block copolymers ("BCPs") having non-random distributions of comonomers within at least one of the blocks and methods of using those BCPs in directed self-assembly ("DSA") processes are provided. The non-random (e.g., gradient-creating) distributions can be customized in order to concentrate the desired comonomer properties in predetermined areas of the BCP. These BCPs can achieve perpendicular orientation with simple annealing and offer superior long-range ordering and lower defectivity when compared to prior art BCPs. These BCPs can be incorporated into compositions that simultaneously offer the benefits of high-χ and rapid thermal-annealing kinetics while maintaining similar or improved guide process windows when compared to prior art BCPs.

Classes IPC  ?

  • C08F 293/00 - Composés macromoléculaires obtenus par polymérisation sur une macromolécule contenant des groupes capables d'amorcer la formation de nouvelles chaînes polymères rattachées exclusivement à une ou aux deux extrémités de la macromolécule de départ
  • C08F 212/08 - Styrène
  • C08F 212/14 - Monomères contenant un seul radical aliphatique non saturé contenant un cycle substitué par des hétéro-atomes ou des groupes contenant des hétéro-atomes
  • C08F 212/32 - Monomères contenant un seul radical aliphatique non saturé contenant plusieurs cycles
  • C08F 220/18 - Esters des alcools ou des phénols monohydriques des phénols ou des alcools contenant plusieurs atomes de carbone avec l'acide acrylique ou l'acide méthacrylique

50.

Gradient block copolymers for directed self-assembly

      
Numéro d'application 16133051
Numéro de brevet 10961383
Statut Délivré - en vigueur
Date de dépôt 2018-09-17
Date de la première publication 2019-08-01
Date d'octroi 2021-03-30
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Xu, Kui
  • Daugherty, Jr., Richard Elsworth
  • Sweat, Daniel Patrick
  • Hockey, Mary Ann
  • Calderas, Eric
  • Bennett, Megan

Abrégé

Novel block copolymers (“BCPs”) having non-random distributions of comonomers within at least one of the blocks and methods of using those BCPs in directed self-assembly (“DSA”) processes are provided. The non-random (e.g., gradient-creating) distributions can be customized in order to concentrate the desired comonomer properties in predetermined areas of the BCP. These BCPs can achieve perpendicular orientation with simple annealing and offer superior long-range ordering and lower defectivity when compared to prior art BCPs. These BCPs can be incorporated into compositions that simultaneously offer the benefits of high-χ and rapid thermal-annealing kinetics while maintaining similar or improved guide process windows when compared to prior art BCPs.

Classes IPC  ?

  • C08L 53/00 - Compositions contenant des copolymères séquencés possédant au moins une séquence d'un polymère obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone; Compositions contenant des dérivés de tels polymères
  • C08F 20/18 - Esters des alcools ou des phénols monohydriques des phénols ou des alcools contenant plusieurs atomes de carbone avec l'acide acrylique ou l'acide méthacrylique
  • C08F 26/06 - Homopolymères ou copolymères de composés contenant un ou plusieurs radicaux aliphatiques non saturés, chaque radical ne contenant qu'une seule liaison double carbone-carbone et l'un au moins étant terminé par une liaison simple ou double à l'azote ou par un hétérocycle contenant de l'azote
  • C08G 63/06 - Polyesters dérivés soit d'acides hydroxycarboxyliques, soit d'acides polycarboxyliques et de composés polyhydroxylés dérivés des acides hydroxycarboxyliques
  • C08F 20/26 - Esters contenant de l'oxygène en plus de l'oxygène de la fonction carboxyle

51.

Laser-releasable bonding materials for 3-D IC applications

      
Numéro d'application 16229591
Numéro de brevet 10968348
Statut Délivré - en vigueur
Date de dépôt 2018-12-21
Date de la première publication 2019-06-27
Date d'octroi 2021-04-06
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Liu, Xiao
  • Wu, Qi
  • Puligadda, Rama
  • Bai, Dongshun
  • Huang, Baron

Abrégé

Novel thermoplastic polyhydroxyether-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are soluble in commonly-used organic solvents (e.g., cyclopentanone). The compositions can also be used as build-up layers for RDL formation.

Classes IPC  ?

  • C08L 71/12 - Oxydes de polyphénylène
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • C08K 3/00 - Emploi de substances inorganiques en tant qu'adjuvants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

52.

LASER-RELEASABLE BONDING MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application US2018067099
Numéro de publication 2019/126648
Statut Délivré - en vigueur
Date de dépôt 2018-12-21
Date de publication 2019-06-27
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Liu, Xiao
  • Wu, Qi
  • Puligadda, Rama
  • Bai, Dongshun
  • Huang, Baron

Abrégé

Novel thermoplastic polyhydroxyether-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are soluble in commonly-used organic solvents (e.g., cyclopentanone). The compositions can also be used as build-up layers for RDL formation.

Classes IPC  ?

  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants
  • B32B 27/20 - Produits stratifiés composés essentiellement de résine synthétique caractérisée par l'emploi d'additifs particuliers utilisant des charges, des pigments, des agents thixotropiques
  • C09J 171/08 - Polyéthers dérivés de composés hydroxylés ou de leurs dérivés métalliques
  • C09J 11/06 - Additifs non macromoléculaires organiques
  • C09J 5/04 - Procédés de collage en général; Procédés de collage non prévus ailleurs, p.ex. relatifs aux amorces comprenant une application séparée de produits adhésifs sur les différentes surfaces à joindre
  • H01L 21/18 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives les dispositifs présentant au moins une barrière de potentiel ou une barrière de surface, p.ex. une jonction PN, une région d'appauvrissement, ou une région de concentration de porteurs de charges les dispositifs ayant des corps semi-conducteurs comprenant des éléments du groupe IV de la classification périodique, ou des composés AIIIBV, avec ou sans impuretés, p.ex. des matériaux de dopage
  • H01L 21/268 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée les radiations étant électromagnétiques, p.ex. des rayons laser
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

53.

Environmentally sealed, reusable connector for printed flexible electronics

      
Numéro d'application 16045241
Numéro de brevet 10770813
Statut Délivré - en vigueur
Date de dépôt 2018-07-25
Date de la première publication 2019-01-31
Date d'octroi 2020-09-08
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Stone, William J.
  • Demster, Joseph
  • Cox, Robert Christian
  • Johnson, Alex Bruce
  • Mccarthy, Louis

Abrégé

An environmentally sealed connector for connecting a spring-loaded terminal to a flexible circuit includes a spring-loaded terminal and a connector cap having a terminal cavity receiving a portion of the spring-loaded terminal therein in order to electrically couple the spring-loaded terminal to the flexible circuit. A connector base is releasably coupled to the connector cap and covers the terminal cavity and the portion of the spring-loaded terminal therein. An elastic member is disposed between the connector cap and the connector base in sealing engagement therewith and surrounds the terminal cavity and the portion of the spring-loaded terminal.

Classes IPC  ?

  • H01R 13/502 - Socles; Boîtiers composés de différentes pièces
  • H01R 12/59 - Connexions fixes pour circuits imprimés flexibles, câbles plats ou à rubans ou structures similaires
  • H01R 12/53 - Connexions fixes pour circuits imprimés rigides ou structures similaires se raccordant à des câbles à l'exclusion des câbles plats ou à rubans
  • H01R 13/52 - Boîtiers protégés contre la poussière, les projections, les éclaboussures, l'eau ou les flammes
  • H01R 12/65 - Connexions fixes pour circuits imprimés flexibles, câbles plats ou à rubans ou structures similaires caractérisées par les bornes

54.

ENVIRONMENTALLY SEALED, REUSABLE CONNECTOR FOR PRINTED FLEXIBLE ELECTRONICS

      
Numéro d'application US2018043742
Numéro de publication 2019/023374
Statut Délivré - en vigueur
Date de dépôt 2018-07-25
Date de publication 2019-01-31
Propriétaire BREWER SCIENCE, INC. (USA)
Inventeur(s)
  • Stone, William J.
  • Demster, Joseph
  • Cox, Robert Christian
  • Johnson, Alex Bruce
  • Mccarthy, Louis

Abrégé

An environmentally sealed connector for connecting a spring-loaded terminal to a flexible circuit includes a spring-loaded terminal and a connector cap having a terminal cavity receiving a portion of the spring-loaded terminal therein in order to electrically couple the spring-loaded terminal to the flexible circuit. A connector base is releasably coupled to the connector cap and covers the terminal cavity and the portion of the spring-loaded terminal therein. An elastic member is disposed between the connector cap and the connector base in sealing engagement therewith and surrounds the terminal cavity and the portion of the spring-loaded terminal.

Classes IPC  ?

  • H01R 13/52 - Boîtiers protégés contre la poussière, les projections, les éclaboussures, l'eau ou les flammes
  • H01R 13/62 - Moyens pour faciliter l'engagement ou la séparation des pièces de couplage ou pour les maintenir engagées

55.

High-chi block copolymers with tunable glass transition temperatures for directed self-assembly

      
Numéro d'application 15909751
Numéro de brevet 10734239
Statut Délivré - en vigueur
Date de dépôt 2018-03-01
Date de la première publication 2018-09-06
Date d'octroi 2020-08-04
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s) Xu, Kui

Abrégé

0 as low as 12 nm for lamellar-structured BCPs and hole/pillar size as small as 6 nm for cylinder-structured BCPs. The Tg of the BCPs can also be tuned to lower than those of PS-b-PMMA standards. The enhanced polymer chain mobility resulting from the decreased Tg of the block copolymer may help with improving the kinetics of BCP self-assembly during the thermal annealing.

Classes IPC  ?

  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • C08F 12/08 - Styrène
  • C08F 220/14 - Esters méthyliques
  • C08G 81/02 - Composés macromoléculaires obtenus par l'interréaction de polymères en l'absence de monomères, p.ex. polymères séquencés au moins un des polymères étant obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • C08F 293/00 - Composés macromoléculaires obtenus par polymérisation sur une macromolécule contenant des groupes capables d'amorcer la formation de nouvelles chaînes polymères rattachées exclusivement à une ou aux deux extrémités de la macromolécule de départ
  • C08F 2/38 - Polymérisation utilisant des régulateurs, p.ex. des agents d'arrêt de chaîne

56.

HIGH-CHI BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2017066258
Numéro de publication 2018/112121
Statut Délivré - en vigueur
Date de dépôt 2017-12-14
Date de publication 2018-06-21
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Sweat, Daniel
  • Xu, Kui

Abrégé

The present invention is broadly concerned with novel directed self-assembly compositions, processes utilizing those compositions, and the resulting structures that are formed. The composition comprises a block copolymer of polystyrene and a polymethylmethacrylate block with polylactic acid side chains ("PS-b-P(MMA-LA)"). The block copolymer is capable of crosslinking and micro-phase separating into lines and spaces measuring about 10-nm or smaller with sub-20 nm L0 capability. Additionally, PS-b-P(MMA-LA) can be thermally annealed without a top-coat for simpler processing than the prior art. The polylactic acid side chains also increase the etch rate of the poly(methylmethacrylate) block when exposed to oxygen plasma, as well as lower the Tg.

Classes IPC  ?

  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants
  • C08G 81/02 - Composés macromoléculaires obtenus par l'interréaction de polymères en l'absence de monomères, p.ex. polymères séquencés au moins un des polymères étant obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone
  • C08F 299/04 - Composés macromoléculaires obtenus par des interréactions de polymères impliquant uniquement des réactions entre des liaisons non saturées carbone-carbone, en l'absence de monomères non macromoléculaires à partir de polycondensats non saturés à partir de polyesters
  • C08F 20/18 - Esters des alcools ou des phénols monohydriques des phénols ou des alcools contenant plusieurs atomes de carbone avec l'acide acrylique ou l'acide méthacrylique
  • C08F 20/20 - Esters des alcools polyhydriques ou des phénols polyhydriques
  • C08G 65/40 - Composés macromoléculaires obtenus par des réactions créant une liaison éther dans la chaîne principale de la macromolécule à partir de composés hydroxylés ou de leurs dérivés métalliques dérivés des phénols à partir des phénols et d'autres composés
  • C08G 63/08 - Lactones ou lactides
  • C08L 53/00 - Compositions contenant des copolymères séquencés possédant au moins une séquence d'un polymère obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone; Compositions contenant des dérivés de tels polymères

57.

High-χ block copolymers for directed self-assembly

      
Numéro d'application 15841472
Numéro de brevet 11078337
Statut Délivré - en vigueur
Date de dépôt 2017-12-14
Date de la première publication 2018-06-14
Date d'octroi 2021-08-03
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Sweat, Daniel
  • Xu, Kui

Abrégé

g.

Classes IPC  ?

  • C08G 81/00 - Composés macromoléculaires obtenus par l'interréaction de polymères en l'absence de monomères, p.ex. polymères séquencés
  • C08G 81/02 - Composés macromoléculaires obtenus par l'interréaction de polymères en l'absence de monomères, p.ex. polymères séquencés au moins un des polymères étant obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone
  • C08F 293/00 - Composés macromoléculaires obtenus par polymérisation sur une macromolécule contenant des groupes capables d'amorcer la formation de nouvelles chaînes polymères rattachées exclusivement à une ou aux deux extrémités de la macromolécule de départ
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • C08L 87/00 - Compositions contenant des composés macromoléculaires non spécifiés, obtenus autrement que par des réactions de polymérisation ne faisant intervenir que des liaisons non saturées carbone-carbone
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • G03F 7/32 - Compositions liquides à cet effet, p.ex. développateurs
  • C08G 63/08 - Lactones ou lactides
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • C08L 53/00 - Compositions contenant des copolymères séquencés possédant au moins une séquence d'un polymère obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone; Compositions contenant des dérivés de tels polymères
  • C08G 65/40 - Composés macromoléculaires obtenus par des réactions créant une liaison éther dans la chaîne principale de la macromolécule à partir de composés hydroxylés ou de leurs dérivés métalliques dérivés des phénols à partir des phénols et d'autres composés
  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants

58.

Spin-on carbon compositions for lithographic processing

      
Numéro d'application 15360385
Numéro de brevet RE046841
Statut Délivré - en vigueur
Date de dépôt 2016-11-23
Date de la première publication 2018-05-15
Date d'octroi 2018-05-15
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Krishnamurthy, Vandana
  • Sullivan, Daniel M.
  • Wang, Yubao
  • Lin, Qin
  • Simmons, Sean

Abrégé

The invention described herein is directed towards spin-on carbon materials comprising polyamic acid compositions and a crosslinker in a solvent system. The materials are useful in trilayer photolithography processes. Films made with the inventive compositions are not soluble in solvents commonly used in lithographic materials, such as, but not limited to PGME, PGMEA, and cyclohexanone. However, the films can be dissolved in developers commonly used in photolithography. In one embodiment, the films can be heated at high temperatures to improve the thermal stability for high temperature processing. Regardless of the embodiment, the material can be applied to a flat/planar or patterned surface. Advantageously, the material exhibits a wiggling resistance during pattern transfer to silicon substrate using fluorocarbon etch.

Classes IPC  ?

  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • B32B 33/00 - Produits stratifiés caractérisés par des propriétés particulières ou des caractéristiques de surface particulières, p.ex. par des revêtements de surface particuliers; Produits stratifiés conçus pour des buts particuliers non couverts par une seule autre classe
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • G03F 7/09 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires
  • C09D 179/08 - Polyimides; Polyesterimides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08G 73/10 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • B32B 9/04 - Produits stratifiés composés essentiellement d'une substance particulière non couverte par les groupes comprenant une telle substance comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique
  • C08G 73/00 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant de l'azote, avec ou sans oxygène ou carbone, non prévus dans les groupes
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

59.

CHEMICALLY PATTERNED GUIDE LAYERS FOR USE IN CHEMOEPITAXY DIRECTING OF BLOCK CO-POLYMERS

      
Numéro d'application US2017055090
Numéro de publication 2018/067671
Statut Délivré - en vigueur
Date de dépôt 2017-10-04
Date de publication 2018-04-12
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce

Abrégé

The present invention is broadly concerned with materials, processes, and structures that allow an underlayer to be imaged directly using conventional lithography, thus avoiding the photoresist processing steps required by prior art directed self-assembly (DSA) processes. The underlayers can be tailored to favor a selected block of the DSA block co-polymers (BCP), depending on the pattern, and can be formulated either to initially be neutral to the BCP and switch to non-neutral after photoexposure, or can initially be non-neutral to the BCP and switch to neutral after exposure. These materials allow fast crosslinking to achieve solvent resistance and possess good thermal stability.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

60.

Method of using chemically patterned guide layers in chemoepitaxy directing of block co-polymers

      
Numéro d'application 15724662
Numéro de brevet 10366887
Statut Délivré - en vigueur
Date de dépôt 2017-10-04
Date de la première publication 2018-04-05
Date d'octroi 2019-07-30
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Dai, Jinhua
  • Lowes, Joyce

Abrégé

The present invention is broadly concerned with materials, processes, and structures that allow an underlayer to be imaged directly using conventional lithography, thus avoiding the photoresist processing steps required by prior art directed self-assembly (DSA) processes. The underlayers can be tailored to favor a selected block of the DSA block co-polymers (BCP), depending on the pattern, and can be formulated either to initially be neutral to the BCP and switch to non-neutral after photoexposure, or can initially be non-neutral to the BCP and switch to neutral after exposure. These materials allow fast crosslinking to achieve solvent resistance and possess good thermal stability.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • C08F 120/68 - Esters
  • C08F 120/18 - Esters des alcools ou des phénols monohydriques des phénols ou des alcools contenant plusieurs atomes de carbone avec l'acide acrylique ou l'acide méthacrylique
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • B05D 1/00 - Procédés pour appliquer des liquides ou d'autres matériaux fluides aux surfaces
  • C08L 53/00 - Compositions contenant des copolymères séquencés possédant au moins une séquence d'un polymère obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone; Compositions contenant des dérivés de tels polymères
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

61.

ENERGETIC PULSE CLEARING OF ENVIRONMENTALLY SENSITIVE THIN-FILM DEVICES

      
Numéro d'application US2017050086
Numéro de publication 2018/045377
Statut Délivré - en vigueur
Date de dépôt 2017-09-05
Date de publication 2018-03-08
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Giedd, Ryan E.
  • Fury, Jonathan J.
  • Harker, Erik
  • Landorf, Christopher

Abrégé

A process and electronic hardware and software system for rapidly heating and cooling an active sensing layer of a gas sensor is provided. A series of high-energy pulses is run through a CNT electrically-active layer, heating the layer to varying temperatures. The influence by various gases on the electrical conductivity of the layer can be used to identify gases (e.g., water vapor, alcohol, methane, O2, CO2, and CO). Advantageously, the same structure can also be used as a nanoheater, either within or outside the context of the gas sensor. The device can acquire a unique gas spectra in seconds, and thus accurately determine gas type and mixtures of gases based on a library of known spectra.

Classes IPC  ?

  • G01N 27/12 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance en recherchant la résistance d'un corps solide dépendant de la réaction avec un fluide

62.

Energetic pulse clearing of environmentally sensitive thin-film devices

      
Numéro d'application 15695557
Numéro de brevet 11385196
Statut Délivré - en vigueur
Date de dépôt 2017-09-05
Date de la première publication 2018-03-08
Date d'octroi 2022-07-12
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Giedd, Ryan E.
  • Fury, Jonathan J.
  • Harker, Erik
  • Landorf, Christopher

Abrégé

2, and CO). Advantageously, the same structure can also be used as a nanoheater, either within or outside the context of the gas sensor. The device can acquire a unique gas spectra in seconds, and thus accurately determine gas type and mixtures of gases based on a library of known spectra.

Classes IPC  ?

  • G01N 27/14 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance en recherchant la résistance d'un corps chauffé électriquement dépendant de variations de température
  • G01N 27/12 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance en recherchant la résistance d'un corps solide dépendant de la réaction avec un fluide
  • G01N 33/00 - Recherche ou analyse des matériaux par des méthodes spécifiques non couvertes par les groupes

63.

Polymer film stencil process for fan-out wafer-level packaging of semiconductor devices

      
Numéro d'application 15689056
Numéro de brevet 10617010
Statut Délivré - en vigueur
Date de dépôt 2017-08-29
Date de la première publication 2018-03-01
Date d'octroi 2020-04-07
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s) Flaim, Tony D.

Abrégé

The present invention provides stencil-based processes for fan-out wafer-level packaging (“FOWLP”) that addresses the limitations associated with prior art over-molding of dies. In the inventive process, a temporary carrier is coated with a release layer and curable adhesive backing layer. A die stencil film is then laminated to the coated carrier, and the dies are placed inside pre-formed cavities created in the laminated stencil. The gaps between the dies and the stencil are filled with a curable polymeric material, and a redistribution layer is constructed according to conventional processes. This process results in better repeatability, lower bowing in the carrier, and enhanced downstream processing.

Classes IPC  ?

  • H01L 23/02 - Conteneurs; Scellements
  • H05K 3/12 - Appareils ou procédés pour la fabrication de circuits imprimés dans lesquels le matériau conducteur est appliqué au support isolant de manière à former le parcours conducteur recherché utilisant la technique de l'impression pour appliquer le matériau conducteur
  • H05K 1/18 - Circuits imprimés associés structurellement à des composants électriques non imprimés
  • H05K 1/02 - Circuits imprimés - Détails
  • H05K 1/03 - Emploi de matériaux pour réaliser le substrat
  • H01L 23/13 - Supports, p.ex. substrats isolants non amovibles caractérisés par leur forme
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

64.

LASER ABLATIVE DIELECTRIC MATERIAL

      
Numéro d'application US2017042225
Numéro de publication 2018/013976
Statut Délivré - en vigueur
Date de dépôt 2017-07-14
Date de publication 2018-01-18
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Matos-Perez, Cristina R.
  • Flaim, Tony D.
  • Southard, Arthur O.
  • Kirchner, Lisa M.
  • Blumenshine, Deborah

Abrégé

Dielectric materials with optimal mechanical properties for use in laser ablation patterning are proposed. These materials include a polymer selected from the group consisting of polyureas, polyurethane, and polyacylhydrazones. New methods to prepare suitable polyacylhydrazones are also provided. Those methods involve mild conditions and result in a soluble polymer that is stable at room temperature and can be incorporated into formulations that can be coated onto microelectronic substrates. The dielectric materials exhibit high elongation, low CTE, low cure temperature, and leave little to no debris post-ablation.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage

65.

Laser ablative dielectric material

      
Numéro d'application 15650535
Numéro de brevet 10304720
Statut Délivré - en vigueur
Date de dépôt 2017-07-14
Date de la première publication 2018-01-18
Date d'octroi 2019-05-28
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Matos-Perez, Christina R.
  • Flaim, Tony D.
  • Southard, Arthur O.
  • Kirchner, Lisa M.
  • Blumenshine, Deborah

Abrégé

Dielectric materials with optimal mechanical properties for use in laser ablation patterning are proposed. These materials include a polymer selected from the group consisting of polyureas, polyurethane, and polyacylhydrazones. New methods to prepare suitable polyacylhydrazones are also provided. Those methods involve mild conditions and result in a soluble polymer that is stable at room temperature and can be incorporated into formulations that can be coated onto microelectronic substrates. The dielectric materials exhibit high elongation, low CTE, low cure temperature, and leave little to no debris post-ablation.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • B41C 1/10 - Préparation de la forme ou du cliché pour l'impression lithographique; Feuilles-mère pour le report d'une image sur la forme
  • C07C 65/21 - Composés comportant des groupes carboxyle liés à des atomes de carbone de cycles aromatiques à six chaînons et contenant l'un des groupes OH, O-métal, —CHO, cétone, éther, des groupes , des groupes ou des groupes contenant des groupes éther, des groupes , des groupes ou des groupes
  • C07C 65/30 - Composés comportant des groupes carboxyle liés à des atomes de carbone de cycles aromatiques à six chaînons et contenant l'un des groupes OH, O-métal, —CHO, cétone, éther, des groupes , des groupes ou des groupes contenant des groupes —CHO
  • C07C 243/12 - Hydrazines ayant des atomes d'azote de groupes hydrazine liés à des atomes de carbone acycliques
  • C08G 69/38 - Polyamides préparés à partir d'aldéhydes et de polynitriles
  • C08G 18/76 - Polyisocyanates ou polyisothiocyanates cycliques aromatiques
  • C08G 12/06 - Amines
  • C08G 73/02 - Polyamines
  • C08G 18/38 - Composés de bas poids moléculaire contenant des hétéro-atomes autres que l'oxygène

66.

ENVIRONMENTAL SENSOR SYSTEM AND SIGNAL PROCESSOR

      
Numéro d'application US2016045613
Numéro de publication 2017/027334
Statut Délivré - en vigueur
Date de dépôt 2016-08-04
Date de publication 2017-02-16
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Fury, Jonathan
  • Anthony, Nicholas
  • Giedd, Ryan

Abrégé

A sensor system comprises a first sensor, a second sensor, a high pass filter, and a summation unit. The first sensor senses an environmental parameter and outputs a first electronic signal with a response having a first time constant. The second sensor senses the environmental parameter and outputs a second electronic signal with a response having a second time constant greater than the first time constant. The high pass filter has a filter time constant roughly equal to the second time constant and filters the first electronic signal, outputting a filtered first electronic signal in which changes in a level or value of the first electronic signal with transition times that are less than the filter time constant are passed. The summation unit receives the filtered first electronic signal and the second electronic signal and outputs a sum of the filtered first electronic signal and the second electronic signal.

Classes IPC  ?

  • G01D 21/02 - Mesure de plusieurs variables par des moyens non couverts par une seule autre sous-classe
  • G01D 1/02 - Dispositions pour la mesure donnant des résultats autres que la valeur instantanée d'une variable, d'application générale donnant des valeurs moyennes, p.ex. des valeurs efficaces

67.

Cyclic olefin polymer compositions and polysiloxane release layers for use in temporary wafer bonding processes

      
Numéro d'application 15332581
Numéro de brevet 09865490
Statut Délivré - en vigueur
Date de dépôt 2016-10-24
Date de la première publication 2017-02-09
Date d'octroi 2018-01-09
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Bai, Dongshun
  • Xu, Gu
  • Blumenshine, Debbie
  • Huang, Baron
  • Wong, Andrew

Abrégé

The invention broadly relates to cyclic olefin polymer bonding compositions and release compositions, to be used independently or together, that enable thin wafer handling during microelectronics manufacturing, especially during a full-wafer mechanical debonding process. The release compositions comprise compositions made from siloxane polymers and copolymers blended in a polar solvent, and that are stable at room temperature for longer than one month. The cyclic olefin polymer bonding compositions provide high thermal stability, can be bonded to fully-treated carrier wafers, can be mechanically or laser debonded after high-temperature heat treatment, and are easily removed with an industrially-acceptable solvent. Wafers bonded according to the invention demonstrate lower overall post-grind stack TTV compared to other commercial bonding materials and can survive 200° C. PECVD processing.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/50 - Assemblage de dispositifs à semi-conducteurs en utilisant des procédés ou des appareils non couverts par l'un uniquement des groupes
  • C08G 77/14 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène
  • C08G 77/20 - Polysiloxanes contenant du silicium lié à des groupes aliphatiques non saturés
  • C08G 77/18 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène à des groupes alcoxyle ou aryloxyle
  • C08G 77/26 - Polysiloxanes contenant du silicium lié à des groupes organiques contenant des atomes autres que le carbone, l'hydrogène et l'oxygène groupes contenant de l'azote
  • C09J 145/00 - Adhésifs à base d'homopolymères ou de copolymères de composés ne possédant pas de radicaux aliphatiques non saturés dans une chaîne latérale et contenant une ou plusieurs liaisons doubles carbone-carbone dans un système carbocyclique ou hétérocycliqu; Adhésifs à base de dérivés de tels polymères
  • C09J 183/06 - Polysiloxanes contenant du silicium lié à des groupes contenant de l'oxygène
  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives
  • B32B 27/08 - Produits stratifiés composés essentiellement de résine synthétique comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique d'une résine synthétique d'une sorte différente
  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants
  • B32B 27/32 - Produits stratifiés composés essentiellement de résine synthétique comprenant des polyoléfines
  • C09J 5/06 - Procédés de collage en général; Procédés de collage non prévus ailleurs, p.ex. relatifs aux amorces comprenant un chauffage de l'adhésif appliqué

68.

Environmental sensor system and signal processor

      
Numéro d'application 15228790
Numéro de brevet 10317291
Statut Délivré - en vigueur
Date de dépôt 2016-08-04
Date de la première publication 2017-02-09
Date d'octroi 2019-06-11
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Fury, Jonathan
  • Anthony, Nicholas
  • Giedd, Ryan

Abrégé

A sensor system comprises a first sensor, a second sensor, a high pass filter, and a summation unit. The first sensor senses an environmental parameter and outputs a first electronic signal with a response having a first time constant. The second sensor senses the environmental parameter and outputs a second electronic signal with a response having a second time constant greater than the first time constant. The high pass filter has a filter time constant roughly equal to the second time constant and filters the first electronic signal, outputting a filtered first electronic signal in which changes in a level or value of the first electronic signal with transition times that are less than the filter time constant are passed. The summation unit receives the filtered first electronic signal and the second electronic signal and outputs a sum of the filtered first electronic signal and the second electronic signal.

Classes IPC  ?

  • G01K 1/18 - Dispositions particulières pour conduire la chaleur de l'objet à l'élément sensible pour réduire l'inertie thermique
  • G01K 3/10 - Thermomètres donnant une indication autre que la valeur instantanée de la température fournissant des valeurs différenciées par rapport au temps, p.ex. réagissant uniquement à une variation rapide de température
  • G01K 7/16 - Mesure de la température basée sur l'utilisation d'éléments électriques ou magnétiques directement sensibles à la chaleur utilisant des éléments résistifs
  • G01K 7/20 - Mesure de la température basée sur l'utilisation d'éléments électriques ou magnétiques directement sensibles à la chaleur utilisant des éléments résistifs l'élément étant une résistance linéaire, p.ex. un thermomètre à résistance de platine dans un circuit spécialement adapté, p.ex. un circuit en pont

69.

SUPERPLANARIZING SPIN-ON CARBON MATERIALS

      
Numéro d'application US2016038554
Numéro de publication 2016/209828
Statut Délivré - en vigueur
Date de dépôt 2016-06-21
Date de publication 2016-12-29
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Huang, Runhui
  • Zhang, Boyu

Abrégé

Planarizing and spin-on-carbon (SOC) compositions that fill vias and/or trenches on a substrate while planarizing the surface in a single thin layer coating process are provided. The compositions can planarize wide ranges of substrates with vias or trenches of from about 20 nm to about 220 nm wide, and up to about 700 nm deep. These extraordinary properties come from the low molecular weight of the polymers used in the materials, thermally-labile protecting groups on the polymers, and a delayed crosslinking reaction.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • G03F 1/22 - Masques ou masques vierges d'imagerie par rayonnement d'une longueur d'onde de 100 nm ou moins, p.ex. masques pour rayons X, masques en extrême ultra violet [EUV]; Leur préparation
  • G03F 1/48 - Couches protectrices
  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • G03F 7/004 - Matériaux photosensibles

70.

Superplanarizing spin-on carbon materials

      
Numéro d'application 15188620
Numéro de brevet 10854451
Statut Délivré - en vigueur
Date de dépôt 2016-06-21
Date de la première publication 2016-12-22
Date d'octroi 2020-12-01
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Zhong, Xing-Fu
  • Huang, Runhui
  • Zhang, Boyu

Abrégé

Planarizing and spin-on-carbon (SOC) compositions that fill vias and/or trenches on a substrate while planarizing the surface in a single thin layer coating process are provided. The compositions can planarize wide ranges of substrates with vias or trenches of from about 20 nm to about 220 nm wide, and up to about 700 nm deep. These extraordinary properties come from the low molecular weight of the polymers used in the materials, thermally-labile protecting groups on the polymers, and a delayed crosslinking reaction.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C09D 125/08 - Copolymères du styrène
  • C09D 131/02 - Homopolymères ou copolymères d'esters d'acides monocarboxyliques
  • C09D 179/08 - Polyimides; Polyesterimides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides

71.

ALL-ORGANIC HIGH REFRACTIVE INDEX MATERIALS

      
Numéro d'application US2015066051
Numéro de publication 2016/100485
Statut Délivré - en vigueur
Date de dépôt 2015-12-16
Date de publication 2016-06-23
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Cox, Robert, Christian
  • Devaughn, Raymond

Abrégé

Novel hyper-branched, dense, high-refractive-index polymers, and compositions utilizing those polymers are provided, along with methods of forming high refractive index films with those compositions. The refractive index of the material is at least about 1.8 at 400 nm. Further, it can be made into optically transparent thin films of only a couple hundred angstroms thickness to thick films of several micrometers thick, as well as into "bulk" solids. The use of a thermal acid or a photo acid generator facilitates crosslinking after the coating process.

Classes IPC  ?

  • C08G 12/32 - Mélamines
  • C08L 79/04 - Polycondensats possédant des hétérocycles contenant de l'azote dans la chaîne principale; Polyhydrazides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08J 5/18 - Fabrication de bandes ou de feuilles

72.

All-organic high refractive index materials

      
Numéro d'application 14968058
Numéro de brevet 10329451
Statut Délivré - en vigueur
Date de dépôt 2015-12-14
Date de la première publication 2016-06-23
Date d'octroi 2019-06-25
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Cox, Robert Christian
  • Devaughn, Raymond

Abrégé

Novel hyper-branched, dense, high-refractive-index polymers, and compositions utilizing those polymers are provided, along with methods of forming high refractive index films with those compositions. The refractive index of the material is at least about 1.8 at 400 nm. Further, it can be made into optically transparent thin films of only a couple hundred angstroms thickness to thick films of several micrometers thick, as well as into “bulk” solids. The use of a thermal acid or a photo acid generator facilitates crosslinking after the coating process.

Classes IPC  ?

  • C09D 5/33 - Peintures réfléchissantes
  • C08G 73/06 - Polycondensats possédant des hétérocycles contenant de l'azote dans la chaîne principale de la macromolécule; Polyhydrazides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08G 75/025 - Procédés de préparation
  • C09D 179/04 - Polycondensats possédant des hétérocycles contenant de l'azote dans la chaîne principale; Polyhydrazides; Polyamide-acides ou précurseurs similaires de polyimides
  • C09D 181/02 - Polythioéthers; Polythioéther-éthers
  • C08G 75/0227 - Polyarylène-thioéthers dérivés de monomères contenant plusieurs noyaux aromatiques

73.

COMPUTER PROGRAM AND METHOD FOR VERIFYING CONTAINER OF MATERIAL TO BE DISPENSED

      
Numéro d'application US2014068383
Numéro de publication 2016/089393
Statut Délivré - en vigueur
Date de dépôt 2014-12-03
Date de publication 2016-06-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lewis, Adam
  • Edwards, Kevin

Abrégé

A system for verifying a container of a material before the material is transferred to a process. The system includes a conduit that extends through a mouth of the container. The conduit is supported by an arm that moves between a first position in which the conduit extends through the mouth, and a second position in which the conduit is removed and moved away from the mouth. A reading device extracts information about the container from an information storage element. A computer program receives input regarding the extracted information, receives input regarding the process, and determining whether the container is correct for the process. The program prevents the arm from moving to the first position, and only if the container is determined to be correct does the program allow the arm to move to the first position so that the material can be transferred.

Classes IPC  ?

  • G06K 17/00 - Méthodes ou dispositions pour faire travailler en coopération des équipements couverts par plusieurs des groupes principaux , p.ex. fichiers automatiques de cartes incluant les opérations de transport et de lecture
  • G06K 19/06 - Supports d'enregistrement pour utilisation avec des machines et avec au moins une partie prévue pour supporter des marques numériques caractérisés par le genre de marque numérique, p.ex. forme, nature, code

74.

All-organic inductor-capacitor tank circuit for radio frequency sensor applications

      
Numéro d'application 13865841
Numéro de brevet 09642258
Statut Délivré - en vigueur
Date de dépôt 2013-04-18
Date de la première publication 2016-02-18
Date d'octroi 2017-05-02
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Diao, Liyong
  • Shih, Wu-Sheng
  • Lamb, Iii, James E.
  • Landorf, Christopher

Abrégé

The present invention provides novel tank circuits that are totally passive, and they are made of conductive-grade carbon nanotubes (CNTs) on substrates, and preferably flexible substrates. These components and structures contain no traditional electronic materials such as silicon, metal oxides, or ceramics, and they are totally organic. They may be used in applications where the resonant frequency and amplitude of the sensor can be modulated by a thermal, mechanical, or chemical signal, such as temperature, strain, pressure, vibration, or humidity. All-organic, and consequently combustible, passive RF sensors have unique applications for defense and consumer industries.

Classes IPC  ?

  • H01F 27/28 - Bobines; Enroulements; Connexions conductrices
  • H01F 5/00 - Bobines d'induction
  • H05K 1/16 - Circuits imprimés comprenant des composants électriques imprimés incorporés, p.ex. une résistance, un condensateur, une inductance imprimés
  • H01G 4/008 - Emploi de matériaux spécifiés
  • H01G 4/14 - Diélectriques organiques
  • H01G 4/40 - Combinaisons structurales de condensateurs fixes avec d'autres éléments électriques non couverts par la présente sous-classe, la structure étant principalement constituée par un condensateur, p.ex. combinaisons RC
  • H05K 1/09 - Emploi de matériaux pour réaliser le parcours métallique
  • H05K 1/03 - Emploi de matériaux pour réaliser le substrat

75.

POLYIMIDES AS LASER RELEASE MATERIALS FOR 3-D IC APPLICATIONS

      
Numéro d'application US2015041505
Numéro de publication 2016/014648
Statut Délivré - en vigueur
Date de dépôt 2015-07-22
Date de publication 2016-01-28
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Liu, Xiao
  • Bai, Dongshun
  • Flaim, Tony D.
  • Zhong, Xing-Fu
  • Wu, Qi

Abrégé

The invention broadly relates to release layer compositions that enable thin wafer handling during microelectronics manufacturing. Preferred release layers are formed from compositions comprising a polyamic acid or polyimide dissolved or dispersed in a solvent system, followed by curing and/or solvent removal at about 250°C to about 350°C for less than about 10 minutes, yielding a thin film. This process forms the release compositions into polyimide release layers that can be used in temporary bonding processes, and laser debonded after the desired processing has been carried out.

Classes IPC  ?

  • H01L 21/58 - Montage des dispositifs à semi-conducteurs sur des supports

76.

THIN-FILM RESISTIVE-BASED SENSOR

      
Numéro d'application US2015041577
Numéro de publication 2016/014689
Statut Délivré - en vigueur
Date de dépôt 2015-07-22
Date de publication 2016-01-28
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Giedd, Ryan E.
  • Kayastha, Vijaya
  • Fury, Jonathan
  • Cox, Robert Christian

Abrégé

Printed resistive-based sensors and transducers comprising a thin, electronically "active" sensing layer within a dielectric and/or metallic layered structure are provided. The electronic resistance of the active sensing layer is measured during a change in the sensor environment. By utilizing a multi-layered architecture around the active sensing layer, the electronic signal of the sensing element can be improved. By carefully selecting the architecture and materials that surround the active sensing layer, the sensitivity, stability, and selectivity of the sensor to detect changes in the environment are improved. This design allows for a number of specific application areas for environmental sensing.

Classes IPC  ?

  • G01R 31/00 - Dispositions pour tester les propriétés électriques; Dispositions pour la localisation des pannes électriques; Dispositions pour tests électriques caractérisées par ce qui est testé, non prévues ailleurs
  • G01R 27/02 - Mesure de résistances, de réactances, d'impédances réelles ou complexes, ou autres caractéristiques bipolaires qui en dérivent, p.ex. constante de temps

77.

Polyimides as laser release materials for 3-D IC applications

      
Numéro d'application 14805898
Numéro de brevet 09827740
Statut Délivré - en vigueur
Date de dépôt 2015-07-22
Date de la première publication 2016-01-28
Date d'octroi 2017-11-28
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Liu, Xiao
  • Bai, Dongshun
  • Flaim, Tony D.
  • Zhong, Xing-Fu
  • Wu, Qi

Abrégé

The invention broadly relates to release layer compositions that enable thin wafer handling during microelectronics manufacturing. Preferred release layers are formed from compositions comprising a polyamic acid or polyimide dissolved or dispersed in a solvent system, followed by curing and/or solvent removal at about 250° C. to about 350° C. for less than about 10 minutes, yielding a thin film. This process forms the release compositions into polyimide release layers that can be used in temporary bonding processes, and laser debonded after the desired processing has been carried out.

Classes IPC  ?

  • B32B 38/10 - Enlèvement de couches ou de parties de couches, mécaniquement ou chimiquement
  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • B05D 3/00 - Traitement préalable des surfaces sur lesquelles des liquides ou d'autres matériaux fluides doivent être appliqués; Traitement ultérieur des revêtements appliqués, p.ex. traitement intermédiaire d'un revêtement déjà appliqué, pour préparer les applications ultérieures de liquides ou d'autres matériaux fluides
  • B32B 27/06 - Produits stratifiés composés essentiellement de résine synthétique comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique
  • B32B 37/14 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par les propriétés des couches
  • C09D 179/08 - Polyimides; Polyesterimides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C08G 73/10 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides

78.

Thin-film resistive-based sensor

      
Numéro d'application 14806238
Numéro de brevet 10352726
Statut Délivré - en vigueur
Date de dépôt 2015-07-22
Date de la première publication 2016-01-28
Date d'octroi 2019-07-16
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Giedd, Ryan E.
  • Kayastha, Vijaya
  • Fury, Jonathan
  • Cox, Robert Christian

Abrégé

Printed resistive-based sensors and transducers comprising a thin, electronically “active” sensing layer within a dielectric and/or metallic layered structure are provided. The electronic resistance of the active sensing layer is measured during a change in the sensor environment. By utilizing a multi-layered architecture around the active sensing layer, the electronic signal of the sensing element can be improved. By carefully selecting the architecture and materials that surround the active sensing layer, the sensitivity, stability, and selectivity of the sensor to detect changes in the environment are improved. This design allows for a number of specific application areas for environmental sensing.

Classes IPC  ?

  • G01D 5/16 - Moyens mécaniques pour le transfert de la grandeur de sortie d'un organe sensible; Moyens pour convertir la grandeur de sortie d'un organe sensible en une autre variable, lorsque la forme ou la nature de l'organe sensible n'imposent pas un moyen de conversion déterminé; Transducteurs non spécialement adaptés à une variable particulière utilisant des moyens électriques ou magnétiques influençant la valeur d'un courant ou d'une tension en faisant varier la résistance
  • G01K 7/16 - Mesure de la température basée sur l'utilisation d'éléments électriques ou magnétiques directement sensibles à la chaleur utilisant des éléments résistifs
  • G01N 27/12 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance en recherchant la résistance d'un corps solide dépendant de la réaction avec un fluide

79.

MULTI-SIZE ADAPTABLE SPIN CHUCK SYSTEM

      
Numéro d'application US2015031560
Numéro de publication 2015/179387
Statut Délivré - en vigueur
Date de dépôt 2015-05-19
Date de publication 2015-11-26
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Emory, Kirk
  • Wilson, Brandon
  • Ruesing, Roger

Abrégé

A novel interchangeable spin chuck system is provided that allows the user to quickly change substrate sizes and spin chuck styles without any extra tools. This system has a two-piece design and overcomes many of the drawbacks of previous spin chuck designs, such as difficulty in seating the spin chuck and ensuring that the spin chuck is at a consistent flatness and height. Furthermore, this spin chuck system allows the spin chucks to be manufactured at a lower cost. Thus, rather than restricting users to "make do" with incorrect spin chucks due to budget limitations, this economical design gives users access to a wider range of spin chuck sizes and styles.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

80.

USER INTERFACE, METHOD, AND COMPUTER PROGRAM FOR DISPLAYING DATA

      
Numéro d'application US2015029227
Numéro de publication 2015/171600
Statut Délivré - en vigueur
Date de dépôt 2015-05-05
Date de publication 2015-11-12
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Lewis, Adam C.
  • Edwards, Kevin

Abrégé

A user interface for monitoring a number of parameters of a system includes an electronic display element and a display driver for controlling the electronic display element so as to display a data graph thereon. The data graph includes a bounded area divided into a plurality of segments, each segment representing one of the parameters; and a number of concentric portions formed in each segment, each concentric portion representing a state or value of the parameter represented by its corresponding segment. The display driver receives data representative of a current state or value of each of the parameters and indicates the current state or value of the parameters by marking the concentric portions that represent the current states or values.

Classes IPC  ?

  • G06F 3/048 - Techniques d’interaction fondées sur les interfaces utilisateur graphiques [GUI]

81.

HIGH-CHI BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2015011811
Numéro de publication 2015/109224
Statut Délivré - en vigueur
Date de dépôt 2015-01-16
Date de publication 2015-07-23
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Kui
  • Hockey, Mary Ann
  • Calderas, Eric

Abrégé

Compositions for directed self-assembly (DSA) patterning techniques are provided. Methods for directed self-assembly are also provided in which a DSA composition comprising a block copolymer (BCP) is applied to a substrate and then self-assembled to form the desired pattern. The block copolymer includes at least two blocks and is selected to have a high interaction parameter (Chi). The BCPs are able to form perpendicular lamellae by simple thermal annealing on a neutralized substrate, without a top coat. The BCPs are also capable of micro-phase separating into lines and spaces measuring at 10 nm or smaller, with sub-20-nm Lo capability.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

82.

CYCLIC OLEFIN POLYMER COMPOSITIONS AND POLYSILOXANE RELEASE LAYERS FOR USE IN TEMPORARY WAFER BONDING PROCESSES

      
Numéro d'application US2015010290
Numéro de publication 2015/105785
Statut Délivré - en vigueur
Date de dépôt 2015-01-06
Date de publication 2015-07-16
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Bai, Dongshun
  • Xu, Gu
  • Blumenshine, Debbie

Abrégé

The invention broadly relates to cyclic olefin polymer bonding compositions and release compositions, to be used independently or together, that enable thin wafer handling during microelectronics manufacturing, especially during a full-wafer mechanical debonding process. The release compositions comprise compositions made from siloxane polymers and copolymers blended in a polar solvent, and that are stable at room temperature for longer than one month. The cyclic olefin polymer bonding compositions provide high thermal stability, can be bonded to fully-treated carrier wafers, can be mechanically or laser debonded after high-temperature heat treatment, and are easily removed with an industrially-acceptable solvent. Wafers bonded according to the invention demonstrate lower overall post-grind stack TTV compared to other commercial bonding materials and can survive 200°C PECVD processing.

Classes IPC  ?

  • H01L 21/58 - Montage des dispositifs à semi-conducteurs sur des supports

83.

High-Chi block copolymers for directed self-assembly

      
Numéro d'application 14599103
Numéro de brevet 10421878
Statut Délivré - en vigueur
Date de dépôt 2015-01-16
Date de la première publication 2015-07-16
Date d'octroi 2019-09-24
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Xu, Kui
  • Hockey, Mary Ann
  • Calderas, Eric

Abrégé

0 capability.

Classes IPC  ?

  • C09D 153/00 - Compositions de revêtement à base de copolymères séquencés possédant au moins une séquence d'un polymère obtenu par des réactions ne faisant intervenir que des liaisons non saturées carbone-carbone; Compositions de revêtement à base de dérivés de tels polymères
  • C08F 293/00 - Composés macromoléculaires obtenus par polymérisation sur une macromolécule contenant des groupes capables d'amorcer la formation de nouvelles chaînes polymères rattachées exclusivement à une ou aux deux extrémités de la macromolécule de départ
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

84.

Cyclic olefin polymer compositions and polysiloxane release layers for use in temporary wafer bonding processes

      
Numéro d'application 14590531
Numéro de brevet 09496164
Statut Délivré - en vigueur
Date de dépôt 2015-01-06
Date de la première publication 2015-07-09
Date d'octroi 2016-11-15
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Bai, Dongshun
  • Xu, Gu
  • Blumenshine, Debbie

Abrégé

The invention broadly relates to cyclic olefin polymer bonding compositions and release compositions, to be used independently or together, that enable thin wafer handling during microelectronics manufacturing, especially during a full-wafer mechanical debonding process. The release compositions comprise compositions made from siloxane polymers and copolymers blended in a polar solvent, and that are stable at room temperature for longer than one month. The cyclic olefin polymer bonding compositions provide high thermal stability, can be bonded to fully-treated carrier wafers, can be mechanically or laser debonded after high-temperature heat treatment, and are easily removed with an industrially-acceptable solvent. Wafers bonded according to the invention demonstrate lower overall post-grind stack TTV compared to other commercial bonding materials and can survive 200° C. PECVD processing.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives
  • B32B 27/08 - Produits stratifiés composés essentiellement de résine synthétique comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique d'une résine synthétique d'une sorte différente
  • B32B 27/28 - Produits stratifiés composés essentiellement de résine synthétique comprenant des copolymères de résines synthétiques non complètement couverts par les sous-groupes suivants
  • B32B 27/32 - Produits stratifiés composés essentiellement de résine synthétique comprenant des polyoléfines

85.

Dual-layer bonding material process for temporary bonding of microelectronic substrates to carrier substrates

      
Numéro d'application 14472073
Numéro de brevet 10103048
Statut Délivré - en vigueur
Date de dépôt 2014-08-28
Date de la première publication 2015-03-05
Date d'octroi 2018-10-16
Propriétaire Brewer Science, Inc. (USA)
Inventeur(s)
  • Flaim, Tony D.
  • Mccutcheon, Jeremy

Abrégé

A process is disclosed for using two polymeric bonding material layers to bond a device wafer and carrier wafer in a way that allows debonding to occur between the two layers under low-force conditions at room temperature. Optionally, a third layer is included at the interface between the two layers of polymeric bonding material to facilitate the debonding at this interface. This process can potentially improve bond line stability during backside processing of temporarily bonded wafers, simplify the preparation of bonded wafers by eliminating the need for specialized release layers, and reduce wafer cleaning time and chemical consumption after debonding.

Classes IPC  ?

  • B32B 38/10 - Enlèvement de couches ou de parties de couches, mécaniquement ou chimiquement
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • B32B 37/14 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par les propriétés des couches
  • B32B 37/30 - Stratification partielle
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations
  • B32B 37/24 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par les propriétés des couches avec au moins une couche qui ne présente pas de cohésion avant la stratification, p.ex. constituée de matériau granulaire projeté sur un substrat

86.

Highly crosslinked polymer dielectric films for improved capacitor performance

      
Numéro d'application 14292349
Numéro de brevet 09611346
Statut Délivré - en vigueur
Date de dépôt 2014-05-30
Date de la première publication 2014-12-11
Date d'octroi 2017-04-04
Propriétaire Brewer Science Inc. (USA)
Inventeur(s) Weimer, Marc

Abrégé

New polymeric dielectric materials are provided for high power capacitors, especially for mobile and weapons applications. These materials utilize aminoplast crosslinking in their polymeric structure. The aminoplast crosslinking ability of these materials allows them to be customized for a number of applications, but also allows the materials to have a higher crosslinking density, leading to higher dielectric constants, higher breakdown voltage, and higher thermal stability. These materials can be incorporated into current capacitor manufacturing schemes with little to no processing changes.

Classes IPC  ?

  • C08G 12/00 - Polymères de condensation d'aldéhydes ou de cétones obtenus uniquement avec des composés contenant de l'hydrogène fixé sur de l'azote
  • C08F 120/58 - Amides contenant de l'oxygène en plus de l'oxygène de la fonction carbonamide
  • H01G 4/32 - Condensateurs enroulés
  • H01G 4/18 - Diélectriques organiques en matériau synthétique, p.ex. en dérivés de la cellulose

87.

Spin-on carbon compositions for lithographic processing

      
Numéro d'application 14461109
Numéro de brevet 09102129
Statut Délivré - en vigueur
Date de dépôt 2014-08-15
Date de la première publication 2014-12-04
Date d'octroi 2015-08-11
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Krishnamurthy, Vandana
  • Sullivan, Daniel M.
  • Wang, Yubao
  • Lin, Qin
  • Simmons, Sean

Abrégé

The invention described herein is directed towards spin-on carbon materials comprising polyamic acid compositions and a crosslinker in a solvent system. The materials are useful in trilayer photolithography processes. Films made with the inventive compositions are not soluble in solvents commonly used in lithographic materials, such as, but not limited to PGME, PGMEA, and cyclohexanone. However, the films can be dissolved in developers commonly used in photolithography. In one embodiment, the films can be heated at high temperatures to improve the thermal stability for high temperature processing. Regardless of the embodiment, the material can be applied to a flat/planar or patterned surface. Advantageously, the material exhibits a wiggling resistance during pattern transfer to silicon substrate using fluorocarbon etch.

Classes IPC  ?

  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • B32B 33/00 - Produits stratifiés caractérisés par des propriétés particulières ou des caractéristiques de surface particulières, p.ex. par des revêtements de surface particuliers; Produits stratifiés conçus pour des buts particuliers non couverts par une seule autre classe
  • C08G 73/00 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant de l'azote, avec ou sans oxygène ou carbone, non prévus dans les groupes
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • C09D 179/08 - Polyimides; Polyesterimides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • C08G 73/10 - Polyimides; Polyester-imides; Polyamide-imides; Polyamide-acides ou précurseurs similaires de polyimides
  • G03F 7/09 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires
  • B32B 9/04 - Produits stratifiés composés essentiellement d'une substance particulière non couverte par les groupes comprenant une telle substance comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique

88.

Highly etch-resistant polymer block for use in block copolymers for directed self-assembly

      
Numéro d'application 14242551
Numéro de brevet 09123541
Statut Délivré - en vigueur
Date de dépôt 2014-04-01
Date de la première publication 2014-10-09
Date d'octroi 2015-09-01
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Xu, Kui
  • Hockey, Mary Ann
  • Guerrero, Douglas

Abrégé

Compositions for directed self-assembly (DSA) patterning techniques are provided. Methods for directed self-assembly are also provided in which a DSA composition comprising a block copolymer is applied to a substrate and then self-assembled to form the desired pattern. The block copolymer includes at least two blocks of differing etch rates, so that one block (e.g., polymethylmethacrylate) is selectively removed during etching. Because the slower etching block (e.g., polystyrene) is modified with an additive to further slow the etch rate of that block, more of the slow etching block remains behind to fully transfer the pattern to underlying layers.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/311 - Gravure des couches isolantes
  • C08L 33/12 - Homopolymères ou copolymères du méthacrylate de méthyle

89.

APPARATUS AND METHOD FOR THIN WAFER TRANSFER

      
Numéro d'application US2014032226
Numéro de publication 2014/165406
Statut Délivré - en vigueur
Date de dépôt 2014-03-28
Date de publication 2014-10-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Waterworth, Blake
  • Rich, Steven Matthew
  • Hladik, Molly
  • Emory, Kirk

Abrégé

A wafer transfer assembly and method of using the assembly to transfer device wafers between processing tools in a manufacturing process are described herein. The assembly comprises a wafer transfer disk, an end effector configured to receive and support the wafer transfer disk, and an elongated handle extending from the end effector. The wafer transfer disk comprises a wafer-engaging surface configured to support a debonded device wafer placed on the wafer transfer assembly with the device surface adjacent the wafer-engaging surface. The wafer-engaging surface has non-stick properties, and yields a low bonding strength interface between the wafer-engaging surface and device surface. The resulting transfer stack can be transported to other processing tools for additional processing of the debonded device wafer, followed by separating the debonded device wafer and the wafer transfer disk without damaging the device wafer.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • B65G 49/07 - Systèmes transporteurs caractérisés par leur utilisation à des fins particulières, non prévus ailleurs pour des matériaux ou objets fragiles ou dommageables pour des plaquettes semi-conductrices

90.

HIGHLY ETCH-RESISTANT POLYMER BLOCK FOR USE IN BLOCK COPOLYMERS FOR DIRECTED SELF-ASSEMBLY

      
Numéro d'application US2014032557
Numéro de publication 2014/165530
Statut Délivré - en vigueur
Date de dépôt 2014-04-01
Date de publication 2014-10-09
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Xu, Kui
  • Hockey, Mary Ann
  • Guerrero, Douglas

Abrégé

Compositions for directed self-assembly (DSA) patterning techniques are provided. Methods for directed self-assembly are also provided in which a DSA composition comprising a block copolymer is applied to a substrate and then self-assembled to form the desired pattern. The block copolymer includes at least two blocks of differing etch rates, so that one block (e.g., polymethylmethacrylate) is selectively removed during etching. Because the slower etching block (e.g., polystyrene) is modified with an additive to further slow the etch rate of that block, more of the slow etching block remains behind to fully transfer the pattern to underlying layers.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

91.

Multiple bonding layers for thin-wafer handling

      
Numéro d'application 14273369
Numéro de brevet 09472436
Statut Délivré - en vigueur
Date de dépôt 2014-05-08
Date de la première publication 2014-08-28
Date d'octroi 2016-10-18
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Zhong, Xing-Fu
  • Flaim, Tony D.
  • Mccutcheon, Jeremy

Abrégé

Multiple bonding layer schemes that temporarily join semiconductor substrates are provided. In the inventive bonding scheme, at least one of the layers is directly in contact with the semiconductor substrate and at least two layers within the scheme are in direct contact with one another. The present invention provides several processing options as the different layers within the multilayer structure perform specific functions. More importantly, it will improve performance of the thin-wafer handling solution by providing higher thermal stability, greater compatibility with harsh backside processing steps, protection of bumps on the front side of the wafer by encapsulation, lower stress in the debonding step, and fewer defects on the front side.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • B32B 38/00 - Opérations auxiliaires liées aux procédés de stratification
  • B32B 38/10 - Enlèvement de couches ou de parties de couches, mécaniquement ou chimiquement
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations

92.

Multiple bonding layers for thin-wafer handling

      
Numéro d'application 14191544
Numéro de brevet 09224631
Statut Délivré - en vigueur
Date de dépôt 2014-02-27
Date de la première publication 2014-06-26
Date d'octroi 2015-12-29
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Zhong, Xing-Fu
  • Flaim, Tony D.
  • Mccutcheon, Jeremy

Abrégé

Multiple bonding layer schemes that temporarily join semiconductor substrates are provided. In the inventive bonding scheme, at least one of the layers is directly in contact with the semiconductor substrate and at least two layers within the scheme are in direct contact with one another. The present invention provides several processing options as the different layers within the multilayer structure perform specific functions. More importantly, it will improve performance of the thin-wafer handling solution by providing higher thermal stability, greater compatibility with harsh backside processing steps, protection of bumps on the front side of the wafer by encapsulation, lower stress in the debonding step, and fewer defects on the front side.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • B32B 38/00 - Opérations auxiliaires liées aux procédés de stratification
  • B32B 38/10 - Enlèvement de couches ou de parties de couches, mécaniquement ou chimiquement
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations

93.

Multiple bonding layers for thin-wafer handling

      
Numéro d'application 13198294
Numéro de brevet 09263314
Statut Délivré - en vigueur
Date de dépôt 2011-08-04
Date de la première publication 2014-06-12
Date d'octroi 2016-02-16
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Puligadda, Rama
  • Zhong, Xing-Fu
  • Flaim, Tony D.
  • Mccutcheon, Jeremy

Abrégé

Multiple bonding layer schemes that temporarily join semiconductor substrates are provided. In the inventive bonding scheme, at least one of the layers is directly in contact with the semiconductor substrate and at least two layers within the scheme are in direct contact with one another. The present invention provides several processing options as the different layers within the multilayer structure perform specific functions. More importantly, it will improve performance of the thin-wafer handling solution by providing higher thermal stability, greater compatibility with harsh backside processing steps, protection of bumps on the front side of the wafer by encapsulation, lower stress in the debonding step, and fewer defects on the front side.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • B32B 38/00 - Opérations auxiliaires liées aux procédés de stratification
  • B32B 38/10 - Enlèvement de couches ou de parties de couches, mécaniquement ou chimiquement
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations

94.

Highly soluble carbon nanotubes with enhanced conductivity

      
Numéro d'application 14164156
Numéro de brevet 09738805
Statut Délivré - en vigueur
Date de dépôt 2014-01-25
Date de la première publication 2014-05-22
Date d'octroi 2017-08-22
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Landorf, Christopher
  • Jones, Carissa
  • Nelson, Marriana

Abrégé

New methods for preparing carbon nanotube films having enhanced properties are provided. The method broadly provides reacting carbon nanotubes (CNTs) and compounds comprising a polyaromatic moieties in the presence a strong acid. During the reaction process, the polyaromatic moieties noncovalently bond with the carbon nanotubes. Additionally, the functionalizing moieties are further functionalized by the strong acid. This dual functionalization allows the CNTs to be dispersed at concentrations greater than 0.5 g/L in solution without damaging their desirable electronic and physical properties. The resulting solutions are stable on the shelf for months without observable bundling, and can be incorporated into solutions for printing conductive traces by a variety of means, including inkjet, screen, flexographic, gravure printing, or spin and spray coating.

Classes IPC  ?

  • C01B 31/02 - Préparation du carbone; Purification
  • C09D 11/52 - Encres conductrices de l’électricité
  • B82Y 30/00 - Nanotechnologie pour matériaux ou science des surfaces, p.ex. nanocomposites
  • B82Y 40/00 - Fabrication ou traitement des nanostructures
  • H01B 1/24 - Matériau conducteur dispersé dans un matériau organique non conducteur le matériau conducteur comportant des compositions à base de carbone-silicium, du carbone ou du silicium

95.

Methods of transferring device wafers or layers between carrier substrates and other surfaces

      
Numéro d'application 14131157
Numéro de brevet 09827757
Statut Délivré - en vigueur
Date de dépôt 2012-07-09
Date de la première publication 2014-05-15
Date d'octroi 2017-11-28
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Mccutcheon, Jeremy
  • Flaim, Tony D.
  • Bailey, Susan

Abrégé

New temporary bonding methods and articles formed from those methods are provided. In one embodiment, the methods comprise coating a device or other ultrathin layer on a growth substrate with a rigid support layer and then bonding that stack to a carrier substrate. The growth substrate can then be removed and the ultrathin layer mounted on a final support. In another embodiment, the invention provides methods of handling device layers during processing that must occur on both sides of the fragile layer without damaging it. This is accomplished via the sequential use of two carriers, one on each side of the device layer, bonded with different bonding compositions for selective debonding.

Classes IPC  ?

  • B29C 65/48 - Assemblage d'éléments préformés; Appareils à cet effet en utilisant des adhésifs
  • B32B 9/04 - Produits stratifiés composés essentiellement d'une substance particulière non couverte par les groupes comprenant une telle substance comme seul composant ou composant principal d'une couche adjacente à une autre couche d'une substance spécifique
  • B32B 37/12 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par l'usage d'adhésifs
  • B32B 37/16 - Procédés ou dispositifs pour la stratification, p.ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par les propriétés des couches toutes les couches existant et présentant une cohésion avant la stratification
  • B32B 38/10 - Enlèvement de couches ou de parties de couches, mécaniquement ou chimiquement
  • B32B 43/00 - Opérations spécialement adaptées aux produits stratifiés et non prévues ailleurs, p.ex. réparation; Appareils pour ces opérations
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • B32B 7/06 - Liaison entre couches permettant une séparation sans difficultés
  • B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives
  • B32B 7/14 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives appliqués en disposition espacée, p.ex. en bandes
  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale

96.

CVD-FREE, SCALABLE PROCESSES FOR THE PRODUCTION OF SILICON MICRO- AND NANOSTRUCTURES

      
Numéro d'application US2013069334
Numéro de publication 2014/074943
Statut Délivré - en vigueur
Date de dépôt 2013-11-08
Date de publication 2014-05-15
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Malhotra, Jyoti K.
  • Leith, Jeff
  • Planje, Curtis

Abrégé

Manufacturing-friendly and scalable methods for the production of silicon micro- and nanostructures, including silicon nanotubes, are described. The inventive methods utilize conventional integrated circuit and MEMS manufacturing processes, including spin-coating, photolithography, wet and dry silicon etching, and photoassisted electrochemical etch processes. The invention also provides a novel mask, for maximizing the number of tubes obtained per surface area unit of the silicon substrate on which the tubes are built. The resulting tubes have thick and straight outer walls, as well as high aspect ratios.

Classes IPC  ?

  • B82B 3/00 - Fabrication ou traitement des nanostructures par manipulation d’atomes ou de molécules, ou d’ensembles limités d’atomes ou de molécules un à un comme des unités individuelles
  • B82B 1/00 - Nanostructures formées par manipulation d’atomes ou de molécules, ou d’ensembles limités d’atomes ou de molécules un à un comme des unités individuelles

97.

CVD-free, scalable processes for the production of silicon micro- and nanostructures

      
Numéro d'application 14076094
Numéro de brevet 09299778
Statut Délivré - en vigueur
Date de dépôt 2013-11-08
Date de la première publication 2014-05-08
Date d'octroi 2016-03-29
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Malhotra, Jyoti K.
  • Leith, Jeff
  • Planje, Curtis

Abrégé

Manufacturing-friendly and scalable methods for the production of silicon micro- and nanostructures, including silicon nanotubes, are described. The inventive methods utilize conventional integrated circuit and MEMS manufacturing processes, including spin-coating, photolithography, wet and dry silicon etching, and photoassisted electrochemical etch processes. The invention also provides a novel mask, for maximizing the number of tubes obtained per surface area unit of the silicon substrate on which the tubes are built. The resulting tubes have thick and straight outer walls, as well as high aspect ratios.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 51/00 - Dispositifs à l'état solide qui utilisent des matériaux organiques comme partie active, ou qui utilisent comme partie active une combinaison de matériaux organiques et d'autres matériaux; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de tels dispositifs ou de leurs parties constitutives
  • B82Y 10/00 - Nanotechnologie pour le traitement, le stockage ou la transmission d’informations, p.ex. calcul quantique ou logique à un électron
  • B82Y 40/00 - Fabrication ou traitement des nanostructures
  • B81C 1/00 - Fabrication ou traitement de dispositifs ou de systèmes dans ou sur un substrat
  • B81C 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe
  • G03F 1/50 - Masques vierges non couverts par les groupes ; Leur préparation
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

98.

SILICONE POLYMERS WITH HIGH REFRACTIVE INDICES AND EXTENDED POT LIFE

      
Numéro d'application US2013065303
Numéro de publication 2014/062843
Statut Délivré - en vigueur
Date de dépôt 2013-10-16
Date de publication 2014-04-24
Propriétaire BREWER SCIENCE INC. (USA)
Inventeur(s)
  • Malhotra, Jyoti K.
  • Zhong, Xing-Fu

Abrégé

Novel compositions and methods of using those compositions to form high refractive index coatings are provided. The compositions comprise a mixture of two silicone polymers, a catalyst, and an inhibitor for the catalyst. The preferred catalyst comprises platinum. Unlike prior art silicone systems, the inventive composition can be provided in a one-part form due to a substantially improved pot life. The compositions can be spin- or spray-applied, followed by baking to crosslink the polymers and form a cured layer. The inventive cured layers have high refractive indices and light transmissions.

Classes IPC  ?

  • H01L 23/28 - Capsulations, p.ex. couches de capsulation, revêtements

99.

Silicone polymers with high refractive indices and extended pot life

      
Numéro d'application 14055691
Numéro de brevet 09117757
Statut Délivré - en vigueur
Date de dépôt 2013-10-16
Date de la première publication 2014-04-17
Date d'octroi 2015-08-25
Propriétaire Brewer Science Inc. (USA)
Inventeur(s)
  • Malhotra, Jyoti K.
  • Zhong, Xing-Fu

Abrégé

Novel compositions and methods of using those compositions to form high refractive index coatings are provided. The compositions comprise a mixture of two silicone polymers, a catalyst, and an inhibitor for the catalyst. The preferred catalyst comprises platinum. Unlike prior art silicone systems, the inventive composition can be provided in a one-part form due to a substantially improved pot life. The compositions can be spin- or spray-applied, followed by baking to crosslink the polymers and form a cured layer. The inventive cured layers have high refractive indices and light transmissions.

Classes IPC  ?

  • H01L 23/29 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par le matériau
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • C08K 5/11 - Esters; Ether-esters d'acides polycarboxyliques acycliques
  • H01L 33/56 - Matériaux, p.ex. résine époxy ou silicone
  • C08L 83/04 - Polysiloxanes

100.

BrewerBOND

      
Numéro d'application 1184221
Statut Enregistrée
Date de dépôt 2013-10-24
Date d'enregistrement 2013-10-24
Propriétaire BREWER SCIENCE, INC. (USA)
Classes de Nice  ?
  • 01 - Produits chimiques destinés à l'industrie, aux sciences ainsi qu'à l'agriculture
  • 07 - Machines et machines-outils
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Chemical compositions, namely, bonding and release layers used in the manufacture of microelectronic products. Semiconductor wafer processing machines and equipment. Technical consulting services in the field of the design of semiconductor wafer processing machines and equipment, computer software consultancy.
  1     2     3        Prochaine page