Advanced Micro Devices, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 1 346 pour Advanced Micro Devices, Inc. et 2 filiales Trier par
Recheche Texte
Brevet
International - WIPO
Excluant les filiales
Affiner par Reset Report
Propriétaire / Filiale
[Owner] Advanced Micro Devices, Inc. 1 346
ATI Technologies ULC 160
ATI International, Srl 5
Date
Nouveautés (dernières 4 semaines) 36
2024 avril (MACJ) 35
2024 mars 9
2024 février 3
2024 janvier 19
Voir plus
Classe IPC
G06F 9/38 - Exécution simultanée d'instructions 122
G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement 85
G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire 78
G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT] 77
G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions 72
Voir plus
Résultats pour  brevets
  1     2     3     ...     14        Prochaine page

1.

BACKSIDE POWER WITH ON-DIE POWER SWITCHES

      
Numéro d'application US2023074884
Numéro de publication 2024/086426
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-25
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Sutanthavibul, Suphachai
  • Schultz, Richard T.

Abrégé

An apparatus and method for efficiently routing power signals across a semiconductor die. In various implementations, an integrated circuit includes a micro through silicon via (TSV) that traverses a silicon substrate layer to a backside metal layer. The integrated circuit also includes power switches. The integrated circuit routes a power supply signal from the output of a power switch to a frontside power rail using the micro TSV and the backside metal layer. The integrated circuit also routes the power supply signal from the output of the power switch to the frontside power rail using a frontside metal layer. Therefore, the frontside metal layer and the backside metal layer provide power connection redundancy that increases charge sharing, improves wafer yield, reduces voltage droop, and reduces on-die area. In addition, the process routes a ground reference voltage level using both a frontside power rail and a backside power rail.

Classes IPC  ?

  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes
  • H01L 23/528 - Configuration de la structure d'interconnexion

2.

PAGE RINSING SCHEME TO KEEP A DIRECTORY PAGE IN AN EXCLUSIVE STATE IN.A SINGLE COMPLEX

      
Numéro d'application US2023075463
Numéro de publication 2024/086437
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-25
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Balakrishnan, Ganesh
  • Apte, Amit
  • Ling, Ann
  • Kalyanasundharamlara, Vydhyanathan

Abrégé

A method includes, in a cache directory, storing an entry associating a memory region with an exclusive coherency state, and in response to a memory access directed to the memory region, transmitting a demote superprobe to convert at least one cache line of the memory region from an exclusive coherency state to a shared coherency state.

Classes IPC  ?

  • G06F 12/1009 - Traduction d'adresses avec tables de pages, p.ex. structures de table de page
  • G06F 12/084 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec mémoire cache partagée
  • G06F 12/0817 - Protocoles de cohérence de mémoire cache à l’aide de méthodes de répertoire

3.

WIFI PACKET COALESCING

      
Numéro d'application US2023076175
Numéro de publication 2024/077204
Statut Délivré - en vigueur
Date de dépôt 2023-10-06
Date de publication 2024-04-11
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Holla, Ashwini Chandrashekhara
  • Paul, Indrani
  • Branover, Alexander J.
  • Moreira, Carlos Javier

Abrégé

The disclosed device for packet coalescing includes detecting a trigger condition for initiating packet coalescing of packet traffic and sending, to an endpoint device, a notification to start packet coalescing. The device can observe a status in response to starting the packet coalescing and report a performance of the packet coalescing. A system can include a controller that detects a trigger condition for packet coalescing and notifies an endpoint device via a notification register. The controller can read a status register to report, based on the read status, a packet coalescing performance. Various other methods, systems, and computer-readable media are also disclosed.

Classes IPC  ?

  • H04L 47/43 - Assemblage ou désassemblage de paquets, p.ex. par segmentation et réassemblage [SAR]
  • H04L 43/08 - Surveillance ou test en fonction de métriques spécifiques, p.ex. la qualité du service [QoS], la consommation d’énergie ou les paramètres environnementaux

4.

COMPONENT COOLER FOR A COMPUTING DEVICE

      
Numéro d'application US2023073736
Numéro de publication 2024/073225
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Helberg, Christopher M.
  • Jaggers, Christopher M.
  • Radke, Robert Edward
  • Pratapgarhwala, Mustansir M.
  • Austin, Michael J.
  • Shenoy, Sukesh

Abrégé

An apparatus for component cooling includes a first heat transfer element configured to be thermally coupled to a heat-generating electronic component, and a second heat transfer element. The apparatus further includes a plurality of heat transfer paths thermally coupled between the first heat transfer element and the second heat transfer element. Each of the plurality of heat transfer paths configured to provide a separate heat conduction path from the first heat transfer element to the second heat transfer element. The apparatus further includes a manifold including a first fluid passage providing a first portion of a heat transfer fluid in thermal contact with the first heat transfer element, and a second fluid passage providing a second portion of the heat transfer fluid in thermal contact with the second heat transfer element.

Classes IPC  ?

  • G06F 1/20 - Moyens de refroidissement
  • H01L 23/467 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de gaz, p.ex. d'air
  • H01L 33/64 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments d'extraction de la chaleur ou de refroidissement
  • F25B 21/02 - Machines, installations ou systèmes utilisant des effets électriques ou magnétiques utilisant l'effet Nernst-Ettinghausen
  • H01L 23/473 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de liquides
  • F28B 1/02 - Condenseurs dans lesquels la vapeur d'eau ou autre vapeur est séparée de l'agent de refroidissement par des parois, p.ex. condenseur à surface utilisant l'eau ou un autre liquide comme agent de refroidissement

5.

CONNECTING A CHIPLET TO AN INTERPOSER DIE AND TO A PACKAGE INTERFACE USING A SPACER INTERCONNECT COUPLED TO A PORTION OF THE CHIPLET

      
Numéro d'application US2023073770
Numéro de publication 2024/073229
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Loh, Gabriel H.
  • Chapman, Eric J.
  • Swaminathan, Raja

Abrégé

A semiconductor package assembly includes a package interface. An interposer die has a first surface and a second surface opposite to the first surface, where the first surface of the interposer is die positioned on the package interface. The interposer die includes a plurality of conductive connections between the first surface and second surface. A chiplet includes a connectivity region having conductive pathways, with a first portion of the connectivity region coupled to a conductive connection of the interposer die and a second portion of the connectivity region cantilevered from the interposer die.

Classes IPC  ?

  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/07 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

6.

LOW POWER PROCESSING OF REMOTE MANAGEABILITY REQUESTS

      
Numéro d'application US2023074881
Numéro de publication 2024/073312
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Sambamurthy, Sriram
  • Paul, Indrani
  • Kramer, David Boardman
  • Chilakam, Madhusudan

Abrégé

An apparatus and method for efficiently performing power management for multiple clients of a semiconductor chip that supports remote manageability. In various implementations, a network interface receives a packet, and sends at least an indication of the packet to a manageability processing circuity (MPC) of a processing node with multiple clients for processing tasks. The MPC determines whether a client or itself is a destination needed to process the packet. If the destination is the MPC, then packet processing is done by the MPC without involvement from the clients, which can be in an idle state. For example, the MPC can process a remote manageability packet requesting diagnostic information from one or more clients of the processing node. The network interface and the MPC use a sideband communication channel for data transmission, which foregoes lane training for further reduction in latency and power consumption.

Classes IPC  ?

  • G06F 1/3209 - Surveillance d’une activité à distance, p.ex. au travers de lignes téléphoniques ou de connexions réseau
  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise
  • G06F 1/3287 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par la mise hors tension d’une unité fonctionnelle individuelle dans un ordinateur
  • G06F 1/3293 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par transfert vers un processeur plus économe en énergie, p.ex. vers un sous-processeur
  • H04L 45/00 - Routage ou recherche de routes de paquets dans les réseaux de commutation de données
  • H04L 49/109 - TRANSMISSION D'INFORMATION NUMÉRIQUE, p.ex. COMMUNICATION TÉLÉGRAPHIQUE Éléments de commutation de paquets caractérisés par la construction de la matrice de commutation intégrés sur micropuce, p.ex. interrupteurs sur puce
  • H04L 69/12 - Moteurs de protocole
  • H04L 69/22 - Analyse syntaxique ou évaluation d’en-têtes

7.

APPARATUS, SYSTEM, AND METHOD FOR DETECTING PHYSICAL INFRASTRUCTURE CONSTRAINT VIOLATIONS

      
Numéro d'application US2023075464
Numéro de publication 2024/073630
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Shah, Siddharth K.
  • Sridharan, Vilas Sridharan
  • Mehra, Amitabh
  • Harwani, Anil
  • Fischofer, William

Abrégé

A disclosed method can include (i) reporting, by a microcontroller, detection of a violation of a physical infrastructure constraint to a machine check architecture, (ii) triggering, by the machine check architecture in response to the reporting, a machine-check exception such that the violation of the physical infrastructure constraint is recorded, and (iii) performing a corrective action based on the triggering of the machine-check exception. Various other apparatuses, systems, and methods are also disclosed.

Classes IPC  ?

  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts
  • G06F 11/30 - Surveillance du fonctionnement

8.

MULTI-LEVEL STARVATION WIDGET

      
Numéro d'application US2023075465
Numéro de publication 2024/073631
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Gurumurthy, Sankaranarayanan
  • Harwani, Anil

Abrégé

The disclosed method includes detecting a stalled memory request, for a first level cache within a cache hierarchy of a processor, that includes an outstanding memory request associated with a second level cache within the cache hierarchy that is higher than the first level cache. The method further includes indicating, to the second level cache, that the first level cache is experiencing a starvation issue due to stalled memory requests to enable the second level cache to perform starvation-remediation actions. Various other methods, systems, and computer-readable media are also disclosed.

Classes IPC  ?

  • G06F 12/0897 - Mémoires cache caractérisées par leur organisation ou leur structure avec plusieurs niveaux de hiérarchie de mémoire cache

9.

APPARATUS, SYSTEM, AND METHOD FOR THROTTLING PREFETCHERS TO PREVENT TRAINING ON IRREGULAR MEMORY ACCESSES

      
Numéro d'application US2023075480
Numéro de publication 2024/073641
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kalamatianos, John
  • Scrbak, Marko
  • Loh, Gabriel H.
  • Arunkumar, Akhil

Abrégé

A disclosed computing device includes at least one prefetcher and a processing device communicatively coupled to the prefetcher. The processing device is configured to detect a throttling instruction that indicates a start of a throttling region. The computing device is further configured to prevent the prefetcher from being trained on one or more memory instructions included in the throttling region in response to the throttling instruction. Various other apparatuses, systems, and methods are also disclosed.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture

10.

REGION PATTERN-MATCHING HARDWARE PREFETCHER

      
Numéro d'application US2023030974
Numéro de publication 2024/072574
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Loh, Gabriel H.
  • Scrbak, Marko
  • Arunkumar, Akhil
  • Kalamatianos, John

Abrégé

A method for performing prefetching operations is disclosed. The method includes storing a recorded access pattern indicating a set of accesses for a region; in response to an access within the region, fetching the recorded access pattern; and performing prefetching based on the access pattern.

Classes IPC  ?

  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

11.

METHOD AND SYSTEM FOR DISTRIBUTING KEYS

      
Numéro d'application US2023031098
Numéro de publication 2024/072591
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-04-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Stewart, Norman Vernon Douglas
  • Doctor, Mihir Shaileshbhai
  • Ahmed, Omar Fakhri
  • Jayanna, Hemaprabhu
  • Traver, John

Abrégé

A method and system for distributing keys in a key distribution system includes receiving a connection for communication from a first component. A determination is made whether the first component requires a key be generated and distributed. Based upon a security mode for the communication, the key generated and distributed to the first component.

Classes IPC  ?

  • G06F 21/57 - Certification ou préservation de plates-formes informatiques fiables, p.ex. démarrages ou arrêts sécurisés, suivis de version, contrôles de logiciel système, mises à jour sécurisées ou évaluation de vulnérabilité
  • H04L 9/08 - Répartition de clés

12.

SPATIAL TEST OF BOUNDING VOLUMES FOR RASTERIZATION

      
Numéro d'application US2023033474
Numéro de publication 2024/072703
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Brennan, Christopher J.
  • Chajdas, Matthaeus G.

Abrégé

In response to receiving a scene description, a processing system [100] generates a set of planes [242] in the scene and a bounding volume [128] representing a partition of the scene. Using the set of planes in the scene, a compute unit [230] of an accelerated processing unit [200] performs a spatial test on the bounding volume to determine whether the bounding volume intersects one or more planes of the set of planes in the scene. Based on the spatial test, the compute unit generates intersection data [435] indicating whether the bounding volume intersects one or more planes of the set of planes in the scene. The accelerated processing unit then uses the intersection data to render the scene.

Classes IPC  ?

  • G06T 15/10 - Effets géométriques
  • G06T 15/08 - Rendu de volume
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 1/60 - Gestion de mémoire

13.

HIERARCHICAL WORK SCHEDULING

      
Numéro d'application US2023033937
Numéro de publication 2024/072932
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Chajdas, Matthaeus G.
  • Brennan, Christopher J.
  • Mantor, Michael
  • Martin, Robert W.
  • Haehnle, Nicolai

Abrégé

A method for hierarchical work scheduling includes consuming a work item at a first scheduling domain having a local scheduler circuit and one or more workgroup processing elements. Consuming the work item produces a set of new work items. Subsequently, the local scheduler circuit distributes at least one new work item of the set of new work items to be executed locally at the first scheduling domain. If the local scheduler circuit of the first scheduling domain determines that the set of new work items includes one or more work items that would overload the first scheduling domain with work if scheduled for local execution, those work items are distributed to the next higher-level scheduler circuit in a scheduling domain hierarchy for redistribution to one or more other scheduling domains.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

14.

CONNECTION MODIFICATION BASED ON TRAFFIC PATTERN

      
Numéro d'application US2023033940
Numéro de publication 2024/072935
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Morris, Nathaniel
  • Cheng, Kevin Yu-Cheng
  • Sandur, Atul Kumar Sujayendra
  • Blagodurov, Sergey

Abrégé

Connection modification based on traffic pattern is described. In accordance with the described techniques, a traffic pattern of memory operations across a set of connections between at least one device and at least one memory is monitored. The traffic pattern is then compared to a threshold traffic pattern condition, such as an amount of data traffic in different directions across the connections. A traffic direction of at least one connection of the set of connections is modified based on the traffic pattern corresponding to the threshold traffic pattern condition.

Classes IPC  ?

  • G06F 13/14 - Gestion de demandes d'interconnexion ou de transfert
  • H04L 43/08 - Surveillance ou test en fonction de métriques spécifiques, p.ex. la qualité du service [QoS], la consommation d’énergie ou les paramètres environnementaux

15.

UNMATCHED CLOCK FOR COMMAND-ADDRESS AND DATA

      
Numéro d'application US2023033991
Numéro de publication 2024/072971
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Willey, Aaron D
  • Gopalakrishnan, Karthik
  • Jayaraman, Pradeep

Abrégé

A memory system includes a PHY embodied on an integrated circuit, the PHY coupling to a memory over conductive traces on a substrate. The PHY includes a reference clock generation circuit providing a reference clock signal to the memory, a first group of driver circuits providing CA signals to the memory, and a second group of driver circuits providing DQ signals to the memory. A plurality of the conductive traces which carry the DQ signals are constructed with a length longer than that of conductive traces carrying the reference clock signal in order to reduce an effective insertion delay associated with coupling the reference clock signal to latch respective incoming DQ signals.

Classes IPC  ?

  • G11C 7/22 - Circuits de synchronisation ou d'horloge pour la lecture-écriture [R-W]; Générateurs ou gestion de signaux de commande pour la lecture-écriture [R-W]
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

16.

PREDICATES FOR PROCESSING-IN-MEMORY

      
Numéro d'application US2023071767
Numéro de publication 2024/073182
Statut Délivré - en vigueur
Date de dépôt 2023-08-07
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Jayasena, Nuwan S.

Abrégé

Predicates for processing in memory is described. In accordance with the described techniques, a predicate instruction to compute a conditional value based on data stored in a memory is provided to a processing-in-memory component. A response that includes the conditional value computed by the processing-in-memory component is received, and the conditional value is stored in a predicate register. One or more conditional instructions are provided to the processing-in-memory component based on the conditional value stored in the predicate register.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

17.

DYNAMICALLY ALTERING TRACKING GRANULARITY IN A REGION-BASED CACHE DIRECTORY

      
Numéro d'application US2023071862
Numéro de publication 2024/073185
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Apte, Amit
  • Balakrishnan, Ganesh

Abrégé

A method includes, in a cache directory, storing a set of entries corresponding to one or more memory regions having a first region size when the cache directory is in a first configuration, and based on a workload sparsity metric, reconfiguring the cache directory to a second configuration. In the second configuration, each entry in the set of entries corresponds to a memory region having a second region size.

Classes IPC  ?

  • G06F 12/0893 - Mémoires cache caractérisées par leur organisation ou leur structure
  • G06F 12/0891 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache utilisant des moyens d’effacement, d’invalidation ou de réinitialisation

18.

SELECTING BETWEEN BASIC AND GLOBAL PERSISTENT FLUSH MODES

      
Numéro d'application US2023072458
Numéro de publication 2024/073193
Statut Délivré - en vigueur
Date de dépôt 2023-08-18
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Branover, Alexander Joseph

Abrégé

Selecting between basic and global persistent flush modes is described. In accordance with the described techniques, a system includes a data fabric in electronic communication with at least one cache and a controller configured to select between operating in a global persistent flush mode and a basic persistent flush mode based on an available flushing latency of the system, control the at least one cache to flush dirty data to the data fabric in response to a flush event trigger while operating in the global persistent flush mode, and transmit a signal to switch control to an application to flush the dirty data from the at least one cache to the data fabric while operating in the basic persistent flush mode.

Classes IPC  ?

19.

RUNTIME FLUSHING TO PERSISTENCY IN HETEROGENOUS SYSTEMS

      
Numéro d'application US2023072655
Numéro de publication 2024/073197
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Branover, Alexander Joseph

Abrégé

Runtime flushing to persistency in heterogenous systems is described. In accordance with the described techniques, a system may include a persistent memory in electronic communication with at least one cache and a controller configured to command the at least one cache to flush dirty data to the persistent memory in response to a dirtiness of the at least one cache reaching a cache dirtiness threshold.

Classes IPC  ?

  • G06F 12/0815 - Protocoles de cohérence de mémoire cache
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

20.

SUB-COOLING COMPONENTS USING THERMOELECTRIC COOLING

      
Numéro d'application US2023073762
Numéro de publication 2024/073227
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Jaggers, Christopher M.
  • Helberg, Christopher M.

Abrégé

An apparatus for sub-cooling components includes a component cooling device, a processor thermally coupled to the component cooling device, an electronic component, a fan configured to direct an airflow across the processor and the electronic component, and a thermoelectric cooling device thermally coupled to the component cooling device. The thermoelectric cooling device is configured to cool the airflow from a first temperature to a second temperature.

Classes IPC  ?

  • G06F 1/20 - Moyens de refroidissement
  • H05K 7/20 - Modifications en vue de faciliter la réfrigération, l'aération ou le chauffage
  • F25B 21/02 - Machines, installations ou systèmes utilisant des effets électriques ou magnétiques utilisant l'effet Nernst-Ettinghausen
  • H01L 23/467 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de gaz, p.ex. d'air
  • H01L 23/473 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de liquides
  • H01L 23/38 - Dispositifs de refroidissement utilisant l'effet Peltier

21.

DATA REUSE CACHE

      
Numéro d'application US2023073766
Numéro de publication 2024/073228
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Garg, Alok
  • Marketkar, Neil N.
  • Sobel, Matthew T.

Abrégé

Data reuse cache techniques are described. In one example, a load instruction is generated by an execution unit of a processor unit. In response to the load instruction, data is loaded by a load-store unit for processing by the execution unit and is also stored to a data reuse cache communicatively coupled between the load-store unit and the execution unit. Upon receipt of a subsequent load instruction for the data from the execution unit, the data is loaded from the data reuse cache for processing by the execution unit.

Classes IPC  ?

  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

22.

ON-DEMAND REGULATION OF MEMORY BANDWIDTH UTILIZATION TO SERVICE REQUIREMENTS OF DISPLAY

      
Numéro d'application US2023073925
Numéro de publication 2024/073231
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-04-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Jain, Ashish
  • Yang, Shang
  • Lei, Jun
  • Phan, Gia Tung
  • Hall, Oswin
  • Tsien, Benjamin
  • Kamat, Narendra

Abrégé

Systems, apparatuses, and methods for prefetching data by a display controller are proposed. From time to time, a performance-state change of a memory is performed. During such changes, a memory clock frequency is changed for a memory subsystem (220) storing frame buffer(s) (230) used to drive pixels to a display device (250). During the performance-state change, memory accesses may be temporarily blocked. To sustain a desired quality of service for the display, a display controller (150) is configured to prefetch data in advance of the performance-state change. In order to ensure the display controller has sufficient memory bandwidth to accomplish the prefetch, bandwidth reduction circuitry (112A, 112N) in clients (205) of the system are configured to temporarily reduce memory bandwidth of corresponding clients.

Classes IPC  ?

  • G09G 5/00 - Dispositions ou circuits de commande de l'affichage communs à l'affichage utilisant des tubes à rayons cathodiques et à l'affichage utilisant d'autres moyens de visualisation
  • G06F 1/324 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par réduction de la fréquence d’horloge
  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture
  • G09G 5/393 - Dispositions pour la mise à jour du contenu de la mémoire à mappage binaire
  • G11C 7/22 - Circuits de synchronisation ou d'horloge pour la lecture-écriture [R-W]; Générateurs ou gestion de signaux de commande pour la lecture-écriture [R-W]
  • G09G 5/395 - Dispositions spécialement adaptées pour le transfert du contenu de la mémoire à mappage binaire vers l'écran

23.

WORK GRAPH SCHEDULER IMPLEMENTATION

      
Numéro d'application US2023074869
Numéro de publication 2024/073306
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Chajdas, Matthäus G.
  • Mantor, Michael J.
  • Mccrary, Rex Eldon
  • Brennan, Christopher J.
  • Martin, Robert
  • Baumeister, Dominik
  • Wildgrube, Fabian Robert Sebastian

Abrégé

Systems, apparatuses, and methods for implementing a hierarchical scheduler. In various implementations, a processor includes a global scheduler, and a plurality of independent local schedulers with each of the local schedulers coupled to a plurality of processors. In one implementation, the processor is a graphics processing unit and the processors are computation units. The processor further includes a shared cache that is shared by the plurality of local schedulers. Each of the local schedulers also includes a local cache used by the local scheduler and processors coupled to the local scheduler. To schedule work items for execution, the global scheduler is configured to store one or more work items in the shared cache and convey an indication to a first local scheduler of the plurality of local schedulers which causes the first local scheduler to retrieve the one or more work items from the shared cache. Subsequent to retrieving the work items, the local scheduler is configured to schedule the retrieved work items for execution by the coupled processors. Each of the plurality of local schedulers is configured to schedule work items for execution independent of scheduling performed by other local schedulers.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 9/54 - Communication interprogramme

24.

SYNCHRONIZATION METHOD FOR LOW LATENCY COMMUNICATION FOR EFFICIENT SCHEDULING

      
Numéro d'application US2023074877
Numéro de publication 2024/073309
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Chajdas, Matthäus G.
  • Mantor, Michael J.
  • Mccrary, Rex Eldon
  • Brennan, Christopher J.
  • Martin, Robert
  • Baumeister, Dominik
  • Wildgrube, Fabian Robert Sebastian

Abrégé

Systems, apparatuses, and methods for implementing a message passing system to schedule work in a computing system. In various implementations, a processor includes a global scheduler, and a plurality of local schedulers with each of the local schedulers coupled to a plurality of processors. The processor further includes a shared cache that is shared by the plurality of local schedulers. Also, a plurality of mailboxes are implemented to enable communication between the local schedulers and the global scheduler. To schedule work items for execution, the global scheduler is configured to store one or more work items in the shared cache and store an indication in a mailbox for a first local scheduler of the plurality of local schedulers. Responsive to detecting the message in the mailbox, the first local scheduler identifies a location of the one or more work items in the shared cache and retrieves them for scheduling locally.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 9/54 - Communication interprogramme

25.

SYSTEMS AND METHODS FOR CONTINUOUS WORDLINE MONITORING

      
Numéro d'application US2023075461
Numéro de publication 2024/073629
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Shyvers, Patrick James

Abrégé

The disclosed computing device includes a cache memory and at least one processor coupled to the cache memory. The at least one processor is configured to copy data written to one or more nonredundant wordlines of the cache memory to one or more redundant wordlines of the cache memory. The at least one processor is additionally configured to detect a mismatch between data read from the one or more nonredundant wordlines and data stored in the one or more redundant wordlines. The at least one processor is also configured to perform a remediation action in response to detecting the mismatch. Various other methods, systems, and computer-readable media are also disclosed.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

26.

SYSTEMS AND METHODS FOR GENERATING REMEDY RECOMMENDATIONS FOR POWER AND PERFORMANCE ISSUES WITHIN SEMICONDUCTOR SOFTWARE AND HARDWARE

      
Numéro d'application US2023075470
Numéro de publication 2024/073634
Statut Délivré - en vigueur
Date de dépôt 2023-09-29
Date de publication 2024-04-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Mousazadeh, Mohammad Hamed
  • Patel, Arpit
  • Sines, Gabor
  • Irshad, Omer
  • Yu, Philippe John Louis
  • Yan, Zongjie
  • Colbert, Ian Charles

Abrégé

The disclosed computer-implemented method for generating remedy recommendations for power and performance issues within semiconductor software and hardware. For example, the disclosed systems and methods can apply a rule-based model to telemetry data to generate rule-based root-cause outputs as well as telemetry-based unknown outputs. The disclosed systems and methods can further apply a root-cause machine learning model to the telemetry-based unknown outputs to analyze deep and complex failure patterns with the telemetry-based unknown outputs to ultimately generate one or more root-cause remedy recommendations that are specific to the identified failure and the client computing device that is experiencing that failure.

Classes IPC  ?

  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts
  • G06F 11/22 - Détection ou localisation du matériel d'ordinateur défectueux en effectuant des tests pendant les opérations d'attente ou pendant les temps morts, p.ex. essais de mise en route
  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie
  • G06F 21/31 - Authentification de l’utilisateur
  • G06N 20/00 - Apprentissage automatique

27.

SPECULATIVE DRAM REQUEST ENABLING AND DISABLING

      
Numéro d'application US2023030971
Numéro de publication 2024/072573
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kotra, Jagadish B.
  • Kalamatianos, John

Abrégé

Methods, devices, and systems for retrieving information based on cache miss prediction. It is predicted, based on a history of cache misses at a private cache, that a cache lookup for the information will miss a shared victim cache. A speculative memory request is enabled based on the prediction that the cache lookup for the information will miss the shared victim cache. The information is fetched based on the enabled speculative memory request.

Classes IPC  ?

  • G06F 12/084 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec mémoire cache partagée
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

28.

TAG AND DATA CONFIGURATION FOR FINE-GRAINED CACHE MEMORY

      
Numéro d'application US2023030983
Numéro de publication 2024/072575
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kotra, Jagadish B.
  • Scrbak, Marko

Abrégé

A method for operating a memory having a plurality of banks accessible in parallel, each bank including a plurality of grains accessible in parallel is provided. The method includes: based on a memory access request that specifies a memory address, identifying a set that stores data for the memory access request, wherein the set is spread across multiple grains of the plurality of grains; and performing operations to satisfy the memory access request, using entries of the set stored across the multiple grains of the plurality of grains.

Classes IPC  ?

  • G06F 12/0842 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement pour multitraitement ou multitâche
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

29.

SECURITY FOR SIMULTANEOUS MULTITHREADING PROCESSORS

      
Numéro d'application US2023032857
Numéro de publication 2024/072645
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kaplan, David
  • Ilic, Jelena

Abrégé

A processor [101] implements a simultaneous multithreading (SMT) protection mode that, when enabled, prevents execution of particular software [106] (e.g., a virtual machine) at a processor core [102] when a thread associated with different software (e.g., a different virtual machine or a hypervisor) is currently executing at the processor core. By preventing execution of the software, data, software execution patterns, and other potentially sensitive information is kept protected from unauthorized access or detection. Further, in at least some embodiments the SMT protection mode is implemented on a per-software basis, so that different software can choose whether to implement the protection mode, thereby allowing the processor to be employed in a wide variety of computing environments.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

30.

DIRECTED REFRESH MANAGEMENT FOR DRAM

      
Numéro d'application US2023033587
Numéro de publication 2024/072725
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Brandl, Kevin M.
  • Magro, James R.
  • Balakrishnan, Kedarnath
  • Wang, Jing

Abrégé

A memory controller for generating accesses for a memory includes a row hammer logic circuit for providing a sample request. In response to the sample request, the memory controller generates a sample command for dispatch to the memory to cause the memory to capture a current row. In response to a completion of the sample command, the memory controller generates a mitigation command for dispatch to the memory.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

31.

MULTI-LEVEL SIGNAL RECEPTION

      
Numéro d'application US2023033588
Numéro de publication 2024/072726
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Willey, Aaron D.
  • Gopalakrishnan, Karthik
  • Jayaraman, Pradeep
  • Mangaser, Ramon

Abrégé

A method for receiving a multi-level error signal having more than two logic levels includes oversampling the multi-level error signal to provide sampled symbols, wherein a first level of the multi-level error signal indicates no error, and second and third levels of the multi-level error signal indicate first and second error conditions, respectively. The sampled signals are de-serialized to provide sets of symbols. A start of a symbol period is determined in response to detecting that a given sample is different from a prior sample, and the prior sample indicates no error. The sets of symbols are filtered to provide corresponding output symbols based on the start.

Classes IPC  ?

  • G11C 11/4096 - Circuits de commande ou de gestion d'entrée/sortie [E/S, I/O] de données, p.ex. circuits pour la lecture ou l'écriture, circuits d'attaque d'entrée/sortie ou commutateurs de lignes de bits
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G11C 29/52 - Protection du contenu des mémoires; Détection d'erreurs dans le contenu des mémoires

32.

REMOTE DISPLAY SYNCHRONIZATION TO PRESERVE LOCAL DISPLAY

      
Numéro d'application US2023033789
Numéro de publication 2024/072843
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Cheng, Jeffrey
  • Shen, Yuping
  • Mironov, Mikhail
  • Zhang, Min

Abrégé

A remote display synchronization technique preserves the presence of a local display device for a remotely-rendered video stream. A server and a client device cooperate to dynamically determine a target frame rate for a stream of rendered frames suitable for the current capacities of the server and the client device and networking conditions. The server generates from this target frame rate a synchronization signal that serves as timing control for the rendering process. The client device may provide feedback to instigate a change in the target frame rate, and thus a corresponding change in the synchronization signal. In this approach, the rendering frame rate and the encoding frequency may be "synchronized" in a manner consistent with the capacities of the server, the network, and the client device, resulting in generation, encoding, transmission, decoding, and presentation of a stream of frames that mitigates missed encoding of frames while providing acceptable latency.

Classes IPC  ?

  • H04N 21/242 - Procédés de synchronisation, p.ex. traitement de références d'horloge de programme [PCR]
  • H04N 21/2662 - Contrôle de la complexité du flux vidéo, p.ex. en mettant à l'échelle la résolution ou le débit binaire du flux vidéo en fonction des capacités du client
  • H04N 21/24 - Surveillance de procédés ou de ressources, p.ex. surveillance de la charge du serveur, de la bande passante disponible ou des requêtes effectuées sur la voie montante
  • G06N 3/08 - Méthodes d'apprentissage

33.

SCHEDULING PROCESSING-IN-MEMORY REQUESTS AND MEMORY REQUESTS

      
Numéro d'application US2023033820
Numéro de publication 2024/072862
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Madan, Niti
  • Alsop, Johnathan Robert
  • Dutu, Alexandru
  • Islam, Mahzabeen
  • Eckert, Yasuko
  • Jayasena, Nuwan S

Abrégé

A memory controller coupled to a memory module receives both processing-in-memory (PIM) requests and memory requests from a host (e.g., a host processor). The memory controller issues PIM requests to one group of memory banks and concurrently issues memory requests to one or more other groups of memory banks. Accordingly, memory requests are performed on groups of memory banks that would otherwise be idle while PIM requests are performed on the one group of memory banks. Optionally, the memory controller coupled to the memory module also takes various actions when switching between operating in a PIM mode and a non-processing-in-memory mode to reduce or hide overhead when switching between the two modes.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

34.

BIGNUM ADDITION AND/OR SUBTRACTION WITH CARRY PROPAGATION

      
Numéro d'application US2023033942
Numéro de publication 2024/072937
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kayiran, Onur
  • Estlick, Michael
  • Ahmad, Masab
  • Loh, Gabriel H.

Abrégé

A processing unit includes a plurality of adders and a plurality of carry bit generation circuits. The plurality of adders add first and second X bit binary portion values of a first Y bit binary value and a second Y bit binary value. Y is a multiple of X. The plurality of adders further generate first carry bits. The plurality of carry bit generation circuits is coupled to the plurality of adders, respectively, and receive the first carry bits. The plurality of carry bit generation circuits generate second carry bits based on the first carry bits. The plurality of adders use the second carry bits to add the first and second X bit binary portions of the first and second Y bit binary values, respectively.

Classes IPC  ?

  • G06F 7/506 - Addition; Soustraction en mode parallèle binaire, c. à d. ayant un circuit de maniement de chiffre différent pour chaque position avec génération simultanée de retenue pour plusieurs étages ou propagation simultanée de retenue sur plusieurs étages
  • G06F 7/509 - Addition; Soustraction en mode parallèle binaire, c. à d. ayant un circuit de maniement de chiffre différent pour chaque position pour opérandes multiples, p.ex. intégrateurs numériques
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • H04L 9/32 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité comprenant des moyens pour vérifier l'identité ou l'autorisation d'un utilisateur du système

35.

SIGNAL INTERFERENCE TESTING USING RELIABLE READ WRITE INTERFACE

      
Numéro d'application US2023034002
Numéro de publication 2024/072981
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Askar, Tahsin
  • Davanam, Naveen
  • Balakrishnan, Kedarnath
  • Brandl, Kevin, M.
  • Magro, James, R.

Abrégé

A memory controller includes a first arbiter for selecting memory commands for dispatch to a memory over a first channel, a second arbiter for selecting memory commands for dispatch to the memory over a second channel, and a test circuit. The test circuit generates a respective testing sequence of read commands and write commands for each of the first channel and second channel, and causes the testing sequences to be transmitted over the first and second channels at least partially overlapping in time without selection by the first or second arbiters.

Classes IPC  ?

  • G11C 29/02 - Détection ou localisation de circuits auxiliaires défectueux, p.ex. compteurs de rafraîchissement défectueux
  • G11C 29/12 - Dispositions intégrées pour les tests, p.ex. auto-test intégré [BIST]
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

36.

ADDRESS TRANSLATION SERVICE MANAGEMENT

      
Numéro d'application US2023074720
Numéro de publication 2024/064776
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-03-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Gutierrez, Anthony Thomas

Abrégé

Address translation service management techniques are described. These techniques are based on metadata that is usable to provide a hint as insight into memory access, and based on this, use of a translation lookaside buffer is optimized to control which entries are maintained in the queue and manage address translation requests.

Classes IPC  ?

  • G06F 12/1027 - Traduction d'adresses utilisant des moyens de traduction d’adresse associatifs ou pseudo-associatifs, p.ex. un répertoire de pages actives [TLB]
  • G06F 12/1009 - Traduction d'adresses avec tables de pages, p.ex. structures de table de page
  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture

37.

OFFSET DATA INTEGRITY CHECKS FOR LATENCY REDUCTION

      
Numéro d'application US2023074162
Numéro de publication 2024/059691
Statut Délivré - en vigueur
Date de dépôt 2023-09-14
Date de publication 2024-03-21
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • An, Shaofeng
  • Sun, Shiqi
  • Tresidder, Michael James
  • Wang, Yanfeng
  • Barnes, Peter Malcom

Abrégé

Data integrity checks for reducing communication latency is described. A transmitting endpoint transmits data to a receiving endpoint by generating an integrity tag for a first subset of data blocks and a second integrity tag for a second subset of data blocks. In implementations, the first and second integrity tags overlap at least one data block and are offset based on computational complexities of generating the integrity tags. A receiving endpoint generates comparison tags for each of the integrity tags and uses the comparison tags to validate an authenticity of received data. In response to validating the first and second integrity tags, data blocks covered by both the first and second integrity tags are released for use. Additional integrity tags are generated and validated for subsequent subsets of data blocks during data communication, thus reducing latency by offsetting times at which comparison tags are generated and validated.

Classes IPC  ?

  • H04L 9/40 - Protocoles réseaux de sécurité
  • H04L 9/32 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité comprenant des moyens pour vérifier l'identité ou l'autorisation d'un utilisateur du système

38.

ERROR CORRECTION FOR STACKED MEMORY

      
Numéro d'application US2023073216
Numéro de publication 2024/054771
Statut Délivré - en vigueur
Date de dépôt 2023-08-31
Date de publication 2024-03-14
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Madapusi Srinivas Prasad, Divya
  • Ignatowski, Michael
  • Loh, Gabriel

Abrégé

Error correction for stacked memory is described. In accordance with the described techniques, a system includes a plurality of error correction code engines to detect vulnerabilities in a stacked memory and coordinate at least one vulnerability detected for a portion of the stacked memory to at least one other portion of the stacked memory.

Classes IPC  ?

  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11

39.

HYBRID MEMORY ARCHITECTURE FOR ADVANCED 3D SYSTEMS

      
Numéro d'application US2023029044
Numéro de publication 2024/054316
Statut Délivré - en vigueur
Date de dépôt 2023-07-28
Date de publication 2024-03-14
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Prasad, Divya Madapusi Srinivas
  • Madan, Niti
  • Ignatowski, Michael
  • Lee, Hyung-Dong

Abrégé

Disclosed wherein stacked memory dies that utilize a mix of high and low operational temperature memory and non-volatile based memory dies, and chip packages containing the same. High temperature memory dies, such as those using non-volatile memory (NVM) technologies are in a memory stack with low temperature memory dies, such as those having volatile memory technologies. In some cases, the high temperature memory technologies could be used together, in some cases, on the same IC die as logic circuitry. In one example, a memory stack is provided that include a first memory IC die having high temperature memory circuitry, such as non-volatile memory, stacked below a second memory IC die. The second memory IC die has high temperature memory circuitry, such as volatile memory circuitry.

Classes IPC  ?

  • G11C 5/02 - Disposition d'éléments d'emmagasinage, p.ex. sous la forme d'une matrice
  • G11C 11/22 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des éléments ferro-électriques
  • G11C 11/401 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules nécessitant un rafraîchissement ou une régénération de la charge, c. à d. cellules dynamiques

40.

3D LAYOUT AND ORGANIZATION FOR ENHANCEMENT OF MODERN MEMORY SYSTEMS

      
Numéro d'application US2023029046
Numéro de publication 2024/054317
Statut Délivré - en vigueur
Date de dépôt 2023-07-28
Date de publication 2024-03-14
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Prasad, Divya Madapusi Srinivas
  • Adhinarayanan, Vignesh
  • Ignatowski, Michael
  • Lee, Hyung-Dong

Abrégé

Memory stacks having substantially vertical bitlines, and chip packages having the same, are disclosed herein. In one example, a memory stack is provided that includes a first memory IC die and a second memory IC die. The second memory IC die is stacked on the first memory IC die. Bitlines are routed through the first and second IC dies in a substantially vertical orientation. Wordlines within the first memory IC die are oriented orthogonal to the bitlines.

Classes IPC  ?

  • G11C 7/18 - Organisation de lignes de bits; Disposition de lignes de bits
  • G11C 16/24 - Circuits de commande de lignes de bits

41.

DYNAMIC MEMORY OPERATIONS

      
Numéro d'application US2023072694
Numéro de publication 2024/054755
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-03-14
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Madapusi Srinivas Prasad, Divya
  • Ignatowski, Michael

Abrégé

Dynamic memory operations are described. In accordance with the described techniques, a system includes a stacked memory and one or more memory monitors configured to monitor conditions of the stacked memory. A system manager is configured to receive the monitored conditions of the stacked memory from the one or more memory monitors, and dynamically adjust operation of the stacked memory based on the monitored conditions. In one or more implementations, a system includes a memory and at least one register configured to store a ranking for each of a plurality of portions of the memory. Each respective ranking is determined based on an associated retention time of the respective portion of the memory. A memory controller is configured to dynamically refresh the portions of the memory at different times based on the ranking for each of the plurality of portions of the memory stored in the at least one register.

Classes IPC  ?

  • G11C 5/04 - Supports pour éléments d'emmagasinage; Montage ou fixation d'éléments d'emmagasinage sur de tels supports
  • G11C 11/4074 - Circuits d'alimentation ou de génération de tension, p.ex. générateurs de tension de polarisation, générateurs de tension de substrat, alimentation de secours, circuits de commande d'alimentation
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

42.

MEMORY CONTOLLER AND NEAR-MEMORY SUPPORT FOR SPARSE ACCESSES

      
Numéro d'application US2023031288
Numéro de publication 2024/049761
Statut Délivré - en vigueur
Date de dépôt 2023-08-28
Date de publication 2024-03-07
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Adhinarayanan, Vignesh
  • Madan, Niti
  • Fariborz, Marjan

Abrégé

A data processing system includes a data processor and a memory controller receiving memory access requests from the data processor and generating at least one memory access cycle to a memory system in response to the receiving. The memory controller includes a command queue and a sparse element processor. The command queue is for receiving and storing the memory access requests including a first memory access request including a small element request. The sparse element processor is for causing the memory controller to issue a second memory access request to the memory system in response to the first memory access request with a density greater than a density indicated by the first memory access request.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

43.

LOCALITY-BASED DATA PROCESSING

      
Numéro d'application US2023031398
Numéro de publication 2024/049823
Statut Délivré - en vigueur
Date de dépôt 2023-08-29
Date de publication 2024-03-07
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Loh, Gabriel H.

Abrégé

A data processing node includes a processor element and a data fabric circuit. The data fabric circuit is coupled to the processor element and to a local memory element and includes a crossbar switch. The data fabric circuit is operable to bypass the crossbar switch for memory access requests between the processor element and the local memory element.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

44.

SYSTEMS, METHODS, AND DEVICES FOR ADVANCED MEMORY TECHNOLOGY

      
Numéro d'application US2023031473
Numéro de publication 2024/049862
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-03-07
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Madan, Niti
  • Loh, Gabriel H.
  • Magro, James R.

Abrégé

An electronic device includes a processor having processor circuitry and a leader memory controller, a controller coupled to the processor and having a follower memory controller, and a memory. The processor circuitry is operable to access the memory by issuing memory access requests to the leader memory controller. The leader memory controller is operable to complete the memory access requests using the follower memory controller to issue memory commands to the at least one memory die.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

45.

PARTITIONING WAFER PROCESSING AND HYBRID BONDING OF LAYERS FORMED ON DIFFERENT WAFERS FOR A SEMICONDUCTOR ASSEMBLY

      
Numéro d'application US2023031170
Numéro de publication 2024/044369
Statut Délivré - en vigueur
Date de dépôt 2023-08-25
Date de publication 2024-02-29
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Agarwal, Rahul
  • Mandalapu, Chandra Sekhar
  • Swaminathan, Raja

Abrégé

A method for forming a semiconductor assembly that includes forming a first set of layers on a first wafer, where one or more layers of the first set includes one or more devices of the semiconductor assembly. The method further includes forming a second set of layers on a second wafer, where one or more layers of the second set include connections between one or more of the devices of the semiconductor assembly. The method additionally includes coupling a layer of the first set to a layer of the second set using metal to metal hybrid bonding.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

46.

CHIPSET ATTACHED RANDOM ACCESS MEMORY

      
Numéro d'application US2023020812
Numéro de publication 2024/035452
Statut Délivré - en vigueur
Date de dépôt 2023-05-03
Date de publication 2024-02-15
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Alverson, William Robert
  • Mehra, Amitabh
  • Ahrens, Jerry Anton
  • Ley, Grant Evan
  • Harwani, Anil
  • Knight, Joshua Taylor

Abrégé

Random access memory (RAM) is attached to an input/output (I/O) controller of a chipset (e.g., on a motherboard). This chipset attached RAM is optionally used as part of a tiered storage solution with other tiers including, for example, nonvolatile memory (e.g., a solid state drive (SSD)) or a hard disk drive. The chipset attached RAM is separate from the system memory, allowing the chipset attached RAM to be used to speed up access to frequently used data stored in the tiered storage solution without reducing the amount of system memory available to an operating system running on the one or more processing units.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

47.

METHODS FOR CONSTRUCTING PACKAGE SUBSTRATES WITH HIGH DENSITY

      
Numéro d'application US2023071494
Numéro de publication 2024/030945
Statut Délivré - en vigueur
Date de dépôt 2023-08-02
Date de publication 2024-02-08
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Boyapati, Sri Ranga Sai
  • Kulkarni, Deepak Vasant
  • Swaminathan, Raja
  • Alam, Arsalan
  • Wilkerson, Brett P.

Abrégé

A disclosed method can include (i) positioning a first surface of a component of a semiconductor device on a first plated through-hole, (ii) covering, with a layer of dielectric material, at least a second surface of the component that is opposite the first surface of the component, (iii) removing a portion of the layer of dielectric material covering the second surface of the component to form at least one cavity, and (iv) depositing conductive material in the cavity to form a second plated through-hole on the second surface of the component. Various other apparatuses, systems, and methods are also disclosed.

Classes IPC  ?

  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

48.

SYSTEMS AND METHODS FOR DEFOGGING IMAGES AND VIDEO

      
Numéro d'application US2023028118
Numéro de publication 2024/030255
Statut Délivré - en vigueur
Date de dépôt 2023-07-19
Date de publication 2024-02-08
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Liang, Xiaodong

Abrégé

Systems, apparatuses, and methods for implementing defogging techniques for images and video are disclosed. A defogging engine generates a defog filter result from a grayscale format version of an input image. An estimation engine generates an enhancement strength variable from a hue-saturation-value (HSV) format version of the input image. An enhancement engine receives both the defog filter result from the defogging engine and the enhancement strength variable from the estimation engine. The enhancement engine also receives the original red-green-blue (RGB) color space format version of the input image. The enhancement engine generates an enhanced version of the input image from the original RGB format version based on the defog filter result and the enhancement strength variable. The enhanced version of the input image mitigates fog, haze, mist or other environmental impediments that obscured the original input image.

Classes IPC  ?

  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 7/90 - Détermination de caractéristiques de couleur
  • G06T 5/50 - Amélioration ou restauration d'image en utilisant plusieurs images, p.ex. moyenne, soustraction

49.

ENCODED DATA DEPENDENCY MATRIX FOR POWER EFFICIENCY SCHEDULING

      
Numéro d'application US2023069354
Numéro de publication 2024/006895
Statut Délivré - en vigueur
Date de dépôt 2023-06-29
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Arunachalam, Rajesh Kumar
  • Bhoopathy, Manivannan
  • Wong, Hon-Hin
  • Bingham, Scott Thomas

Abrégé

The disclosed system may include a processor configured to encode, using an encoding scheme that reduces a number of bits needed to represent one or more instructions from a set of instructions in an instruction buffer represented by a dependency matrix, a dependency indicating that a child instruction represented in the dependency matrix depends on a parent instruction represented in the dependency matrix. The processor may also be configured to store the encoded dependency in the dependency matrix and dispatch instructions in the instruction buffer based at least on decoding one or more dependencies stored in the dependency matrix for the instructions. Various other methods, systems, and computer-readable media are also disclosed.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/22 - Aménagements de microcommande ou de microprogramme
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

50.

INPUT/OUTPUT STUTTER WAKE ALIGNMENT

      
Numéro d'application US2023020946
Numéro de publication 2024/005914
Statut Délivré - en vigueur
Date de dépôt 2023-05-04
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Paul, Indrani
  • Branover, Alexander J.
  • Tsien, Benjamin
  • Mednick, Elliot H.

Abrégé

An apparatus and method for efficiently performing power management for a multi-client computing system. In various implementations, a computing system includes multiple clients that process tasks corresponding to applications. The clients store generated requests of a particular type while processing tasks. A client receives an indication specifying that another client is having requests of the particular type being serviced. In response to receiving this indication, the client inserts a first urgency level in one or more stored requests of the particular type prior to sending the requests for servicing. When the client determines a particular time interval has elapsed, the client sends an indication to other clients specifying that requests of the particular type are being serviced. The client also inserts a second urgency level different from the first urgency level in one or more stored requests of the particular type prior to sending the requests for servicing.

Classes IPC  ?

  • G06F 9/52 - Synchronisation de programmes; Exclusion mutuelle, p.ex. au moyen de sémaphores
  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise
  • G06F 9/54 - Communication interprogramme
  • G06F 15/173 - Communication entre processeurs utilisant un réseau d'interconnexion, p.ex. matriciel, de réarrangement, pyramidal, en étoile ou ramifié

51.

AUTOMATIC PROVISION OF HIGH SPEED SERIALIZER/DESERIALIZER LANES BY FIRMWARE

      
Numéro d'application US2023022068
Numéro de publication 2024/005937
Statut Délivré - en vigueur
Date de dépôt 2023-05-12
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Azevedo, George D.
  • Barnes, Peter Malcolm
  • Tresidder, Michael J.

Abrégé

Systems, apparatuses, and methods for automatic firmware provision of high speed serializer/deserializer (SERDES) links are disclosed. A system on chip (SoC) includes one or more microcontrollers, a programmable interconnect, a plurality of physical layer engines, and a plurality of SERDES lanes. The programmable interconnect and the plurality of SERDES lanes are able to support communication protocols for interfaces such as PCIE, SATA, Ethernet, and others. On bootup, the SoC receives a custom specification of how the plurality of SERDES lanes are to be configured. The one or more microcontrollers generate a mapping for the programmable interconnect based on the specification. The mapping is used to configure the programmable interconnect to match the specification. The result is the programmable interconnect connecting the plurality of SERDES lanes to the appropriate physical layer circuits to implement the desired configuration.

Classes IPC  ?

  • G06F 13/40 - Structure du bus
  • G06F 9/4401 - Amorçage
  • G06F 13/38 - Transfert d'informations, p.ex. sur un bus
  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

52.

DYNAMIC TOPOLOGY DISCOVERY AND MANAGEMENT

      
Numéro d'application US2023022075
Numéro de publication 2024/005938
Statut Délivré - en vigueur
Date de dépôt 2023-05-12
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Mitchell, Brian
  • Azevedo, George D.

Abrégé

An apparatus and method for efficiently supporting multiple peripheral communication protocols in a computing system. A computing system includes multiple servers with one or more of the servers using multiple connectors for connecting to multiple peripheral devices such as data storage devices. At least one of the connectors is able to support multiple communication protocols, rather than a single communication protocol. A processor of the server determines a peripheral device has been attached to a connector that supports multiple communication protocols, and the processor determines whether one of the multiple communication protocols supported by the particular connector matches the attached peripheral device's communication protocol. If so, the processor configures the connector with the matching communication protocol. Otherwise, the processor generates an indication that specifies that there is no match.

Classes IPC  ?

  • G06F 13/38 - Transfert d'informations, p.ex. sur un bus
  • G06F 13/40 - Structure du bus
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

53.

THROUGH SILICON VIA MACRO WITH DENSE LAYOUT FOR PLACEMENT IN AN INTEGRATED CIRCUIT FLOORPLAN

      
Numéro d'application US2023022084
Numéro de publication 2024/005939
Statut Délivré - en vigueur
Date de dépôt 2023-05-12
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Griffith, Michael Edward
  • Horiuchi, Aaron Keiichi
  • Clay, Donald A.
  • Busta, Eric William
  • Stanford, Hye Jung
  • Wilcox, Kathryn E.
  • Xie, Ruochen
  • Schreiber, Russell
  • Dussinger, Stephen J.
  • Laub Jr., William Edwin
  • Chen, Te-Hsuan

Abrégé

A system and method for efficiently designing a through silicon via (TSV) macro blocks are described. In various implementations, the circuitry of a processor executes instructions of a place and route tool that provides automatic placement of macro blocks and standard cells on an integrated circuit die based on a copy of a netlist of the integrated circuit being designed and a copy of a standard cell library that includes a variety of standard cells and macro blocks. The processor places two functional macros in the floorplan with a channel between them. In the channel, the processor places a TSV macro that includes at least one boundary cell inside of the TSV macro. The processor prevents placement of a boundary cell adjacent to at least one side of the TSV macro despite empty space exists due to no standard cells or macros about the at least one side.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 111/20 - CAO de configuration, p.ex. conception par assemblage ou positionnement de modules sélectionnés à partir de bibliothèques de modules préconçus
  • G06F 119/22 - Analyse de rendement ou optimisation de rendement

54.

ALLOCATION CONTROL FOR CACHE

      
Numéro d'application US2023022112
Numéro de publication 2024/005940
Statut Délivré - en vigueur
Date de dépôt 2023-05-12
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Patel, Chintan S.
  • Branover, Alexander J.
  • Tsien, Benjamin
  • Munoz, Edgar
  • Kalyanasundharam, Vydhyanathan

Abrégé

A technique for operating a cache is disclosed. The technique includes based on a workload change, identifying a first allocation permissions policy; operating the cache according to the first allocation permissions policy; based on set sampling, identifying a second allocation permissions policy; and operating the cache according to the second allocation permissions policy.

Classes IPC  ?

  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

55.

PLATFORM EFFICIENCY TRACKER

      
Numéro d'application US2023024156
Numéro de publication 2024/006019
Statut Délivré - en vigueur
Date de dépôt 2023-06-01
Date de publication 2024-01-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Jain, Ashish
  • Meyer, Eric D.
  • Hung, Austin
  • Liu, Tianshu

Abrégé

Systems, apparatuses, and methods for dynamically estimating power losses in a computing system. A system management circuit tracks a state of a computing system and dynamically estimates power losses in the computing system based in part on the state. Based on the estimated power losses, power consumption of the computing system is estimated. In response to detecting reduced power losses in at least a portion of the computing system, the system management circuit is configured to increase a power-performance state of one or more circuits of the computing system while remaining within a power allocation limit of the computing system.

Classes IPC  ?

  • G06F 1/30 - Moyens pour agir en cas de panne ou d'interruption d'alimentation
  • G06F 1/3206 - Surveillance d’événements, de dispositifs ou de paramètres initiant un changement de mode d’alimentation
  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise
  • G06F 1/28 - Surveillance, p.ex. détection des pannes d'alimentation par franchissement de seuils

56.

ADAPTIVE POWER THROTTLING SYSTEM

      
Numéro d'application US2023024163
Numéro de publication 2024/006020
Statut Délivré - en vigueur
Date de dépôt 2023-06-01
Date de publication 2024-01-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Jain, Ashish
  • Yang, Shang
  • Moghimi, Arash

Abrégé

Systems, apparatuses, and methods for managing power allocation in a computing system. A system management unit detects a condition indicating a change in power is indicated. Such a change may be detecting an indication that a power change is either required, possible, or requested. In response to detecting a reduction in power is indicated, the system management unit identifies currently executing tasks of the computing system and accesses sensitivity data to determine which of a number of computing units (or power domains) to select for power reduction. Based at least in part on the data, a unit is identified that is determined to have a relatively low sensitivity to power state changes under the current operating conditions. A relatively low sensitivity indicates that a change in power to the corresponding unit will not have as significant an impact on overall performance of the computing system than if another unit was selected. Power allocated for the selected unit is then decreased.

Classes IPC  ?

  • G06F 1/3206 - Surveillance d’événements, de dispositifs ou de paramètres initiant un changement de mode d’alimentation
  • G06F 1/324 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par réduction de la fréquence d’horloge
  • G06F 1/329 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par planification de tâches
  • G06F 1/3296 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par diminution de la tension d’alimentation ou de la tension de fonctionnement

57.

LTH AND SVLC HYBRID CORE ARCHITECTURE FOR LOWER COST COMPONENT EMBEDDING IN PACKAGE SUBSTRATE

      
Numéro d'application US2023024170
Numéro de publication 2024/006021
Statut Délivré - en vigueur
Date de dépôt 2023-06-01
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Boyapati, Sriranga Sai
  • Kulkarni, Deepak Vasant
  • Swaminathan, Rajasekaran

Abrégé

An apparatus and method for efficiently transferring information as signals through a silicon package substrate. A semiconductor fabrication process (or process) begins with a relatively thin package substrate core layer and uses lasers to create openings in the package substrate at locations of the signal routes. The use of each of the relatively thin core layer and the lasers allows for reduction in the pitch of the signal routes. The process creates signal routes in the openings using stacked vias from one side of the package substrate to an opposite side of the package substrate. Additionally, the process forms the package substrate with multiple embedded passive components with different thicknesses in different layers of the package substrate. The embedded passive components are used to improve signal integrity of the signal routes.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes

58.

EFFICIENT MEMORY POWER CONTROL OPERATIONS

      
Numéro d'application US2023025443
Numéro de publication 2024/006086
Statut Délivré - en vigueur
Date de dépôt 2023-06-15
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Chittilappilly, Jean J.
  • Brandl, Kevin M.
  • Choate, Michael L.

Abrégé

A data processor is adapted to couple to a memory. The data processor includes a memory operation array, a power engine, and an initialization circuit. The memory operation array includes a command portion and a data portion. The power engine has an input for receiving power state change request signals and an output for providing memory operations responsive to instructions stored in the command portion. The initialization circuit populates the data portion such that consecutive memory operations are separated by an amount corresponding to a predetermined minimum timing parameter.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

59.

WRITE HARDWARE TRAINING ACCELERATION

      
Numéro d'application US2023025988
Numéro de publication 2024/006148
Statut Délivré - en vigueur
Date de dépôt 2023-06-22
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Willey, Aaron D
  • Gopalakrishnan, Karthik

Abrégé

A memory includes a link training circuit with a pseudo-random bit sequence (PRBS) generator and a burst error detection counter. The burst error detection counter including a comparator, a first input coupled to the data input, a second input coupled to the PRBS generator, and a counter operable to increase an error count value by one responsive to detecting any number of errors greater than zero in a sequence of symbols including a predetermined number of symbols.

Classes IPC  ?

  • G11C 11/4096 - Circuits de commande ou de gestion d'entrée/sortie [E/S, I/O] de données, p.ex. circuits pour la lecture ou l'écriture, circuits d'attaque d'entrée/sortie ou commutateurs de lignes de bits
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G11C 11/4076 - Circuits de synchronisation
  • G11C 29/52 - Protection du contenu des mémoires; Détection d'erreurs dans le contenu des mémoires

60.

DYNAMIC MEMORY RECONFIGURATION

      
Numéro d'application US2023026688
Numéro de publication 2024/006501
Statut Délivré - en vigueur
Date de dépôt 2023-06-30
Date de publication 2024-01-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Fowler, Mark
  • Asaro, Anthony
  • Kalyanasundharam, Vydhyanathan

Abrégé

A processing system including a parallel processing unit selectively allocating pages of memory for interleaving across configurable subsets of channels based on a mode of allocation. In some embodiments, in a first mode, a page of memory is allocated to and interleaved across a plurality of channels, and in a second mode, a page of memory is allocated to and interleaved across a subset of the plurality of channels.

Classes IPC  ?

  • G06F 12/1009 - Traduction d'adresses avec tables de pages, p.ex. structures de table de page
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

61.

PIPELINE DELAY REDUCTION FOR COARSE VISIBILITY COMPRESSION

      
Numéro d'application US2023026691
Numéro de publication 2024/006502
Statut Délivré - en vigueur
Date de dépôt 2023-06-30
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kallio, Kiia
  • Palm, Anton

Abrégé

A processing system divides an image to be rendered into one or more tiles and performs a visibility pass on the primitives of the image. During the visibility pass, the processing system generates visibility data for each primitive of a draw call of the image based on a visible primitive count and a visible draw call count. In response to a primitive of the draw call being visible in the first tile, the processing system increments the visible primitive count and generates visibility data indicating that the primitives of the draw call are to be rendered using draw call index data stored in an on-chip memory. If the primitive is the first visible primitive of the draw call, the processing system further increments the visible draw call count. Additionally, the processing system renders the primitives of the draw call using the draw call index data stored in the on-chip memory.

Classes IPC  ?

  • G06T 15/10 - Effets géométriques
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 1/60 - Gestion de mémoire

62.

APPARATUS, SYSTEM, AND METHOD FOR MAKING EFFICIENT PICKS OF MICRO-OPERATIONS FOR EXECUTION

      
Numéro d'application US2023069361
Numéro de publication 2024/006900
Statut Délivré - en vigueur
Date de dépôt 2023-06-29
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Gurumurthy, Sankaranarayanan
  • Burke, Christopher J.

Abrégé

A disclosed method for making efficient picks of micro-operations for execution includes selecting a first set of micro-operations that are ready for execution during a certain clock cycle. The method also includes selecting a second set of micro-operations that are ready for execution during the certain clock cycle. The method additionally includes replacing one or more of the complex micro-operations included in the first set of micro-operations with one or more simple micro-operations included in the second set of micro-operations due at least in part to a number of complex micro-operations included in the first set of micro-operations exceeding a set of complex resources capable of executing the complex micro-operations. Various other apparatuses, systems, and methods are also disclosed.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 7/57 - Unités arithmétiques et logiques [UAL], c. à d. dispositions ou dispositifs pour accomplir plusieurs des opérations couvertes par les groupes  ou pour accomplir des opérations logiques
  • G06F 5/01 - Procédés ou dispositions pour la conversion de données, sans modification de l'ordre ou du contenu des données maniées pour le décalage, p.ex. la justification, le changement d'échelle, la normalisation
  • G06F 7/491 - Calculs avec des nombres décimaux
  • G06F 1/04 - Génération ou distribution de signaux d'horloge ou de signaux dérivés directement de ceux-ci

63.

TECHNIQUE TO ENABLE SIMULTANEOUS USE OF ON-DIE SRAM AS CACHE AND MEMORY

      
Numéro d'application US2023022115
Numéro de publication 2024/005941
Statut Délivré - en vigueur
Date de dépôt 2023-05-12
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Patel, Chintan S.
  • Kalyanasundharam, Vydhyanathan
  • Tsien, Benjamin
  • Branover, Alexander J.

Abrégé

A technique for operating a cache is disclosed. The technique includes utilizing a first portion of a cache in a directly accessed manner; and utilizing a second portion of the cache as a cache.

Classes IPC  ?

  • G06F 12/0877 - Modes d’accès à la mémoire cache
  • G06F 12/0891 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache utilisant des moyens d’effacement, d’invalidation ou de réinitialisation

64.

LIVE PROFILE-DRIVEN CACHE AGING POLICIES

      
Numéro d'application US2023023226
Numéro de publication 2024/005994
Statut Délivré - en vigueur
Date de dépôt 2023-05-23
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Brennan, Christopher J.
  • Lahiry, Akshay

Abrégé

A technique for operating a cache is disclosed. The technique includes recording access data for a first set of memory accesses of a first frame; identifying parameters for a second set of memory accesses of a second frame subsequent to the first frame, based on the access data; and applying the parameters to the second set of memory accesses.

Classes IPC  ?

  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

65.

REST-OF-CHIP POWER OPTIMIZATION THROUGH DATA FABRIC PERFORMANCE STATE MANAGEMENT

      
Numéro d'application US2023023251
Numéro de publication 2024/005996
Statut Délivré - en vigueur
Date de dépôt 2023-05-23
Date de publication 2024-01-04
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Rao, Karthik
  • Paul, Indrani
  • Lewis, Dana Glenn
  • Ramautarsingh, Brett Danier Anil
  • Lui, Jeffrey Ka-Chun
  • Loganaathan, Prasanthy
  • Huang, Jun
  • Lau, Ho Hin
  • Xu, Zhidong

Abrégé

Methods and systems are disclosed for managing performance states of a data fabric of a system on chip (SoC). Techniques disclosed include determining a performance state of the data fabric based on data fabric bandwidth utilizations of respective components of the SoC. A metric, characteristic of a workload centric to cores of the SoC, is derived from hardware counters, and, based on the metric, it is determined whether to alter the performance state.

Classes IPC  ?

  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise
  • G06F 1/324 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par réduction de la fréquence d’horloge

66.

DATA ENCRYPTION SUITABLE FOR USE IN SYSTEMS WITH PROCESSING-IN-MEMORY

      
Numéro d'application US2023025442
Numéro de publication 2024/006085
Statut Délivré - en vigueur
Date de dépôt 2023-06-15
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Jayasena, Nuwan
  • Aga, Shaizeen Dilawarhusen
  • Adhinarayanan, Vignesh

Abrégé

An encryption circuit includes an iterative block cipher circuit. The iterative block cipher circuit has a counter input for a row index, a key input for receiving a secret key, and an output for providing an encrypted counter value in response to performing a block cipher process using the row index as a counter the secret key. The encryption circuit uses the iterative block cipher circuit during a row operation to a memory.

Classes IPC  ?

  • G06F 21/72 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information dans les circuits de cryptographie
  • H04L 9/06 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité l'appareil de chiffrement utilisant des registres à décalage ou des mémoires pour le codage par blocs, p.ex. système DES
  • H04L 9/08 - Répartition de clés
  • G06F 21/79 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du stockage de données dans les supports de stockage à semi-conducteurs, p.ex. les mémoires adressables directement

67.

CHANNEL AND SUB-CHANNEL THROTTLING FOR MEMORY CONTROLLERS

      
Numéro d'application US2023025982
Numéro de publication 2024/006145
Statut Délivré - en vigueur
Date de dépôt 2023-06-22
Date de publication 2024-01-04
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Balakrishnan, Kedarnath
  • Magro, James R.

Abrégé

An arbiter is operable to pick commands from a command queue for dispatch to a memory. The arbiter includes a traffic throttle circuit for mitigating excess power usage increases in coordination with one or more additional arbiters. The traffic throttle circuit includes a monitoring circuit and a throttle circuit. The monitoring circuit is for measuring a number of read and write commands picked by the arbiter and the one or more additional arbiters over a first predetermined period of time. The throttle circuit, responsive to a low activity state, limits a number of read and write commands issued by the arbiter during a second predetermined period of time.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

68.

BALANCED THROUGHPUT OF REPLICATED PARTITIONS IN PRESENCE OF INOPERABLE COMPUTATIONAL UNITS

      
Numéro d'application US2023020797
Numéro de publication 2023/249700
Statut Délivré - en vigueur
Date de dépôt 2023-05-03
Date de publication 2023-12-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Jain, Ashish
  • Sundaram, Sriram
  • Poirier, Christopher Allan
  • Naffziger, Samuel D.

Abrégé

An apparatus and method for efficiently managing balanced performance among replicated partitions of an integrated circuit despite loss of functionality due to manufacturing defects. A processing unit includes at least two replicated partitions, each assigned to operation parameters of a respective power domain. The partitions include multiple compute units. The compute units include multiple lanes of execution. Due to a variety of types of manufacturing defects, one or more of the partitions of the processing unit has less than a predetermined number of operational compute units. To balance the throughput of the multiple partitions, a power manager generates both static and dynamic scaling factors based on at least the corresponding number of operational compute units. Using these scaling factors, the power manager adjusts the operation parameters of power domains for the partitions relative to one another.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 1/20 - Moyens de refroidissement
  • G06F 1/324 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par réduction de la fréquence d’horloge
  • G06F 1/3296 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par diminution de la tension d’alimentation ou de la tension de fonctionnement

69.

ADAPTIVE THREAD MANAGEMENT FOR HETEROGENOUS COMPUTING ARCHITECTURES

      
Numéro d'application US2023020819
Numéro de publication 2023/249701
Statut Délivré - en vigueur
Date de dépôt 2023-05-03
Date de publication 2023-12-28
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Yi, Donny
  • Paul, Indrani
  • Holla, Ashwini Chandrashekhara

Abrégé

An apparatus and method for efficiently scheduling tasks in a dynamic manner to multiple cores that support a heterogeneous computing architecture. A computing system includes multiple cores with at least two cores being capable of executing instructions of a same instruction set architecture (ISA), and therefore, are architecturally compatible. In an implementation, each of the at least two cores is a general-purpose central processing unit (CPU) core capable of executing instructions of a same ISA. However, the throughput and the power consumption greatly differ between the at least two cores based on their hardware designs. An operating system scheduler assigns a thread to a first core, and the first core measures thread dynamic behavior of the thread over a time interval. Based on the thread dynamic behavior, the scheduler reassigns the thread to a second core different from the first core.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]

70.

CHANNEL ROUTING FOR SIMULTANEOUS SWITCHING OUTPUTS

      
Numéro d'application US2023024916
Numéro de publication 2023/249826
Statut Délivré - en vigueur
Date de dépôt 2023-06-09
Date de publication 2023-12-28
Propriétaire
  • ATI TECHNOLOGIES ULC (Canada)
  • ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Chen, Xuan
  • Hsu, Chih-Hua
  • Jayaraman, Pradeep
  • Aburwein, Abdussalam

Abrégé

A data processor is for accessing a memory having a first pseudo channel and a second pseudo channel. The data processor includes at least one memory accessing agent, a memory controller, and a data fabric. The at least one memory accessing agent generates generating memory access requests including first memory access requests that access the memory. The memory controller provides memory commands to the memory in response to the first memory access requests. The data fabric routes the first memory access requests to a first downstream port in response to a corresponding first memory request accessing the first pseudo channel, and to a second downstream port in response to the corresponding first memory request accessing the second pseudo channel. The memory controller has first and second upstream ports coupled to the first and second downstream ports of the data fabric, respectively, and a downstream port coupled to the memory.

Classes IPC  ?

  • G11C 11/4093 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p.ex. mémoires tampon de données
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • G11C 11/408 - Circuits d'adressage
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

71.

MEMORY CONTROLLER WITH PSEUDO-CHANNEL SUPPORT

      
Numéro d'application US2023024969
Numéro de publication 2023/249829
Statut Délivré - en vigueur
Date de dépôt 2023-06-09
Date de publication 2023-12-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kanayama, Hideki
  • Yao, Yubin

Abrégé

A data processor accesses a memory having a first pseudo channel and a second pseudo channel. The data processor includes at least one memory accessing agent for generating a memory access request, a memory controller for providing a memory command to the memory in response to a normalized request selectively using a first pseudo channel pipeline circuit and a second pseudo channel pipeline circuit, and a data fabric for converting the memory access request into the normalized request selectively for the first pseudo channel and the second pseudo channel.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

72.

HOST-LEVEL ERROR DETECTION AND FAULT CORRECTION

      
Numéro d'application US2023025428
Numéro de publication 2023/244733
Statut Délivré - en vigueur
Date de dépôt 2023-06-15
Date de publication 2023-12-21
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Gurumurthi, Sudhanva
  • Sridharan, Vilas

Abrégé

A processing system [100] includes a processing device [230] coupled to a memory [106] configured to check for and correct faults in requested data. In response to correcting the faults of the requested data, the memory sends the corrected data and unused check bits to the processing device as a plurality of fetch returns. The memory also sends a parity fetch based on the corrected data and one or more operations to the processing device. After receiving the plurality of fetch returns and the unused check bits, the processing device checks each fetch return for faults based on the unused check bits. In response to determining that a fetch return includes a fault, the processing device erases the fetch return and reconstructs the fetch return based on one or more other received fetch returns and the parity fetch.

Classes IPC  ?

  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11

73.

VOLUME INTERSECTION USING ROTATED BOUNDING VOLUMES

      
Numéro d'application US2023025429
Numéro de publication 2023/244734
Statut Délivré - en vigueur
Date de dépôt 2023-06-15
Date de publication 2023-12-21
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kangasluoma, Miikka
  • Kallio, Kiia
  • Skinner, Daniel James

Abrégé

One or more rotated bounding volumes are generated for one or more nodes of a bounding volume hierarchy (BVH). Volume intersection ray tracing tests are then performed using the rotated bounding volumes with the aim of reducing the number of calculations required relative to an original, non-rotated bounding volume. Rotated bounding volumes are selected from a plurality of candidate rotations, and selection of one of the candidate rotations are based on surface areas, such as minimum total surface areas, of bounding volumes corresponding to each of the candidate rotations. In order to minimize data storage and increase performance, a number of candidate rotations may be limited to a predetermined set of rotations.

Classes IPC  ?

74.

SYSTEMS AND METHODS FOR INTERPOLATING REGISTER-BASED LOOKUP TABLES

      
Numéro d'application US2023067957
Numéro de publication 2023/240051
Statut Délivré - en vigueur
Date de dépôt 2023-06-06
Date de publication 2023-12-14
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Loh, Gabriel H.
  • Estlick, Michael
  • Fleischman, Jay
  • Schulte, Michael J.
  • Beckmann, Bradford
  • Eckert, Yasuko

Abrégé

The disclosed computer-implemented method for interpolating register-based lookup tables can include identifying, within a set of registers, a lookup table that has been encoded for storage within the set of registers. The method can also include receiving a request to look up a value in the lookup table and responding to the request by interpolating, from the encoded lookup table stored in the set of registers, a representation of the requested value. Various other methods, systems, and computer-readable media are also disclosed.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/345 - Adressage de l'opérande d'instruction ou du résultat ou accès à l'opérande d'instruction ou au résultat d'opérandes ou de résultats multiples
  • G06N 3/063 - Réalisation physique, c. à d. mise en œuvre matérielle de réseaux neuronaux, de neurones ou de parties de neurone utilisant des moyens électroniques

75.

AUTOMATIC MIRRORED ROM

      
Numéro d'application US2023067439
Numéro de publication 2023/230539
Statut Délivré - en vigueur
Date de dépôt 2023-05-24
Date de publication 2023-11-30
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s) Yongfeng, Cai

Abrégé

The disclosed method may include detecting, by a control circuit coupled to a first read only memory (ROM) device and a second ROM device, a failure of a first output signal from the first ROM device to a common output. The first ROM device is connected to the common output and the second ROM device is disconnected from the common output. The method also includes switching, by the control circuit in response to detecting the failure, the common output from the first ROM device to the second ROM device. Various other methods, systems, and computer-readable media are also disclosed.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 11/08 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle

76.

PIPELINE DELAY ELIMINATION WITH PARALLEL TWO LEVEL PRIMITIVE BATCH BINNING

      
Numéro d'application US2023022121
Numéro de publication 2023/224885
Statut Délivré - en vigueur
Date de dépôt 2023-05-12
Date de publication 2023-11-23
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Livesley, Michael, John
  • Wu, Ruijin

Abrégé

A technique for rendering is provided. The technique includes for a set of primitives processed in a coarse binning pass, outputting early draw data to an early draw buffer; while processing the set of primitives in the coarse binning pass, processing the early draw data in a fine binning pass; and processing remaining primitives of the set of primitives in the fine binning pass.

Classes IPC  ?

  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]
  • G06T 15/40 - Suppression de parties cachées
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

77.

REAL TIME WORKLOAD-BASED SYSTEM ADJUSTMENT

      
Numéro d'application US2023016453
Numéro de publication 2023/211606
Statut Délivré - en vigueur
Date de dépôt 2023-03-27
Date de publication 2023-11-02
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Harwani, Anil
  • Alverson, William Robert
  • Mehra, Amitabh
  • Ahrens, Jerry Anton
  • Ley, Grant Evan
  • Knight, Joshua Taylor

Abrégé

Real time workload-based system adjustment is described. In accordance with the described techniques, a processor and a memory are operated according to first settings associated with a first workload. A second workload configured to utilize the processor and the memory is detected. The second workload is associated with second settings. Responsive to detecting the second workload, operation of the processor and the memory are adjusted to operate according to the second settings without rebooting.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/445 - Chargement ou démarrage de programme
  • G06F 1/08 - Générateurs d'horloge ayant une fréquence de base modifiable ou programmable

78.

DYNAMIC CACHE BYPASS FOR POWER SAVINGS

      
Numéro d'application US2023019216
Numéro de publication 2023/211749
Statut Délivré - en vigueur
Date de dépôt 2023-04-20
Date de publication 2023-11-02
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Jain, Ashish
  • Tsien, Benjamin
  • Patel, Chintan S.
  • Kalyanasundharam, Vydhyanathan
  • Yang, Shang

Abrégé

A technique for operating a cache is disclosed. The technique includes in response to a power down trigger that indicates that the cache effectiveness is considered to be low, powering down the cache.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

79.

CROSS-CHIPLET PERFORMANCE DATA STREAMING

      
Numéro d'application US2023013641
Numéro de publication 2023/191979
Statut Délivré - en vigueur
Date de dépôt 2023-02-22
Date de publication 2023-10-05
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Broussard, Bryan
  • Gupta, Pravesh
  • Tsien, Benjamin
  • Kalyanasundharam, Vydhyanathan

Abrégé

Methods and systems are disclosed for cross-chiplet performance data streaming. Techniques disclosed include accumulating, by a subservient chiplet, event data associated with an event indicative of a performance aspect of the subservient chiplet; sending, by the subservient chiplet, the event data over a chiplet bus to a master chiplet; and adding, by the master chiplet, the received event data to an event record, the event record containing previously received, from the subservient chiplet over the chiplet bus, event data associated with the event.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

80.

CORE ACTIVATION AND DEACTIVATION FOR A MULTI-CORE PROCESSOR

      
Numéro d'application US2023062509
Numéro de publication 2023/192718
Statut Délivré - en vigueur
Date de dépôt 2023-02-13
Date de publication 2023-10-05
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Alverson, William Robert
  • Mehra, Amitabh
  • Ahrens, Jerry Anton
  • Ley, Grant Evan
  • Harwani, Anil
  • Knight, Joshua Taylor

Abrégé

Core activation and deactivation for a multi-core processor is described. In accordance with the described techniques, a processor having multiple cores operates using a first core configuration. A request to switch from the first core configuration to a second core configuration is received. Responsive to the request, a switch from the first core configuration to the second core configuration occurs by adjusting a number of active cores of the processor without rebooting.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 11/20 - Détection ou correction d'erreur dans une donnée par redondance dans le matériel en utilisant un masquage actif du défaut, p.ex. en déconnectant les éléments défaillants ou en insérant des éléments de rechange
  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise

81.

ARRAY OF POINTERS PREFETCHING

      
Numéro d'application US2023062506
Numéro de publication 2023/183678
Statut Délivré - en vigueur
Date de dépôt 2023-02-13
Date de publication 2023-09-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Keltcher, Chetana N.
  • Garg, Alok
  • Keltcher, Paul, S.

Abrégé

Array of pointers prefetching is described. In accordance with described techniques, a pointer target instruction is detected by identifying that a destination location of a load instruction is used in an address compute for a memory operation and the load instruction is included in a sequence of load instructions having addresses separated by a step size. An instruction for fetching data of a future load instruction is injected in an instruction stream of a processor. The data of the future load instruction is stored in a temporary register. An additional instruction is injected in the instruction stream for prefetching a pointer target based on an address of the memory operation and the data of the future load instruction.

Classes IPC  ?

  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture
  • G06F 12/10 - Traduction d'adresses
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

82.

LID CARVEOUTS FOR PROCESSOR CONNECTION AND ALIGNMENT

      
Numéro d'application US2023063347
Numéro de publication 2023/183695
Statut Délivré - en vigueur
Date de dépôt 2023-02-27
Date de publication 2023-09-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Ahrens, Jerry Anton
  • Alverson, William Robert
  • Mehra, Amitabh
  • Ley, Grant Evan
  • Harwani, Anil
  • Knight, Joshua Taylor

Abrégé

Package lids with carveouts configured for processor connection and alignment are described. Lid carveouts are configured to align and mechanically secure a cooling device to the package lid by receiving protrusions of the cooling device. Because the lid carveouts ensure precise alignment and orientation of a cooling device relative to a package lid, the lid design enables targeted cooling of discrete portions of the lid. Lid carveouts are further configured to expose one or more connectors disposed on a surface that supports package internal components. When contacted by corresponding connectors of a cooling device, the lid carveouts enable direct connections between the package and the attached cooling device. By creating a direct connection between package components and an attached cooling device, the lid carveouts enable a high-speed connection for proactive and on-demand cooling actuation.

Classes IPC  ?

  • G06F 1/20 - Moyens de refroidissement
  • H05K 7/20 - Modifications en vue de faciliter la réfrigération, l'aération ou le chauffage

83.

STACK-BASED RAY TRAVERSAL WITH DYNAMIC MULTIPLE-NODE ITERATIONS

      
Numéro d'application US2023013646
Numéro de publication 2023/183108
Statut Délivré - en vigueur
Date de dépôt 2023-02-22
Date de publication 2023-09-28
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Skinner, Daniel James
  • Livesley, Michael John
  • Pankratz, David William John

Abrégé

A technique for performing ray tracing operations is provided, The technique includes, in response to detecting that a threshold number of traversal stage work-items of a wavefront have terminated, increasing intersection test parallelization for non-terminated work-items..

Classes IPC  ?

  • G06T 15/06 - Lancer de rayon
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

84.

LID CARVEOUTS FOR PROCESSOR LIGHTING

      
Numéro d'application US2023014176
Numéro de publication 2023/183125
Statut Délivré - en vigueur
Date de dépôt 2023-02-28
Date de publication 2023-09-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Ahrens, Jerry Anton
  • Alverson, William Robert
  • Mehra, Amitabh
  • Ley, Grant Evan
  • Harwani, Anil
  • Knight, Joshua Taylor

Abrégé

Package lids with carveouts configured to expose lights directly connected to an internal component of a processor are described. Lid carveouts are configured to precisely align and mechanically secure a cooling device to the package lid by receiving protrusions of the cooling device via a press fit connection, while maintaining visibility of lights directly connected to processor internal components when the cooling device is connected. Lid carveouts are further configured to expose one or more connectors disposed on a processor surface that supports its internal component. When contacted by corresponding connectors of an auxiliary device, such as a light not integrated into a processor package or a cooling device, the lid carveouts enable direct connections between the package's internal components and the auxiliary device.

Classes IPC  ?

  • G06F 1/20 - Moyens de refroidissement
  • H05K 7/20 - Modifications en vue de faciliter la réfrigération, l'aération ou le chauffage
  • H01L 23/04 - Conteneurs; Scellements caractérisés par la forme
  • H01L 23/36 - Emploi de matériaux spécifiés ou mise en forme, en vue de faciliter le refroidissement ou le chauffage, p.ex. dissipateurs de chaleur

85.

LOAD DEPENDENT BRANCH PREDICTION

      
Numéro d'application US2023062499
Numéro de publication 2023/183677
Statut Délivré - en vigueur
Date de dépôt 2023-02-13
Date de publication 2023-09-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Keltcher, Chetana N.
  • Garg, Alok
  • Keltcher, Paul S.

Abrégé

Load dependent branch prediction is described. In accordance with described techniques, a load dependent branch instruction is detected by identifying that a destination location of a load instruction is used in an operation for determining whether a conditional branch is taken or not taken. The load instruction is included in a sequence of load instructions having addresses separated by a step size. An instruction is injected in an instruction stream of a processor for fetching data of a future load instruction using an address of the load instruction offset by a distance based on the step size. An additional instruction is injected in the instruction stream of the processor for precomputing an outcome of a load dependent branch using an address computed based on an address of the operation and the data of the future load instruction.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

86.

USER CONFIGURABLE HARDWARE SETTINGS FOR OVERCLOCKING

      
Numéro d'application US2023062507
Numéro de publication 2023/183679
Statut Délivré - en vigueur
Date de dépôt 2023-02-13
Date de publication 2023-09-28
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Mehra, Amitabh
  • Alverson, William, Robert
  • Ahrens, Jerry, Anton
  • Ley, Grant, Evan
  • Harwani, Anil
  • Knight, Joshua, Taylor

Abrégé

User configurable hardware settings for overclocking is described. In accordance with the described techniques, user input to adjust hardware settings for operating a processing unit in an overclocking mode is received. The user input, for example, adjusts at least one of a voltage droop threshold or a frequency adjustment of the clock rate. A voltage droop is detected while operating the processing unit in the overclocking mode. Responsive to detecting the voltage droop, a clock rate of the processing unit is adjusted based at least in part on the adjusted hardware settings.

Classes IPC  ?

  • G01R 31/317 - Tests de circuits numériques
  • G01R 19/165 - Indication de ce qu'un courant ou une tension est, soit supérieur ou inférieur à une valeur prédéterminée, soit à l'intérieur ou à l'extérieur d'une plage de valeurs prédéterminée
  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • G01R 35/00 - Test ou étalonnage des appareils couverts par les autres groupes de la présente sous-classe

87.

LOCKING MECHANISM FOR IMAGE CLASSIFICATION

      
Numéro d'application US2023015535
Numéro de publication 2023/177888
Statut Délivré - en vigueur
Date de dépôt 2023-03-17
Date de publication 2023-09-21
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Tovey, Steven
  • Petersson, Jimmy Stefan
  • Arcila, Thomas
  • Chen, Zhuo
  • Hodes, Stephan
  • Riley, Colin
  • Meunier, Sylvain Daniel Julien

Abrégé

A first frame of a video stream is obtained. The first frame is defined by a plurality of pixels associated with a set of color data. A determination is made that a pixel of the plurality of pixels comprises high-frequency information. Responsive to the determination that the pixel comprises high-frequency information, a pixel lock is generated for the pixel such that color data associated with the pixel is maintained during a color accumulation process for at least one of the first frame or a second frame of the video stream that is subsequent to the first frame.

Classes IPC  ?

  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image
  • G06T 15/50 - Effets de lumière
  • G06T 15/04 - Mappage de texture
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 7/90 - Détermination de caractéristiques de couleur

88.

SUPER RESOLUTION UPSCALING

      
Numéro d'application US2023015533
Numéro de publication 2023/177887
Statut Délivré - en vigueur
Date de dépôt 2023-03-17
Date de publication 2023-09-21
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Tovey, Steven
  • Petersson, Jimmy Stefan
  • Arcila, Thomas
  • Chen, Zhuo
  • Hodes, Stephen
  • Riley, Colin
  • Meunier, Sylvain Daniel Julien

Abrégé

A first frame of a video stream rendered at a first resolution is obtained. A second frame of the video stream upscaled to a second higher resolution is also obtained. The first plurality of pixels is upscaled to the second resolution. The upsampling generates upsampled color data for the upsampled first plurality of pixels. The upsampled color data is accumulated with a second set of color data associated with a second plurality of pixels defining the second frame to generate final color data for the upsampled first plurality of pixels. Color data of the second set of color data associated with a pixel lock contributes more to the final color data than corresponding color data of the upsampled color data. The upsampled first plurality of pixels is stored with the final color data as an upscaled frame representing the first frame at the second resolution.

Classes IPC  ?

  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 7/50 - Récupération de la profondeur ou de la forme
  • G06T 7/90 - Détermination de caractéristiques de couleur
  • G06T 15/80 - Ombrage

89.

MEMORY ORGANIZATION FOR MULTI-MODE SUPPORT

      
Numéro d'application US2023013822
Numéro de publication 2023/167805
Statut Délivré - en vigueur
Date de dépôt 2023-02-24
Date de publication 2023-09-07
Propriétaire
  • ATI TECHNOLOGIES ULC (Canada)
  • ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Chen, Xuan
  • La Fetra, Ross V.
  • Litt, Michael John

Abrégé

A memory package includes first, second, third, and fourth channels arranged consecutively in a clockwise direction on the memory package, each of the first, second, third, and fourth channels having access circuitry and memory arrays. In a first mode, the first channel controls access to the memory arrays in the second channel and the fourth channel controls access to the memory arrays in the third channel.

Classes IPC  ?

  • G11C 5/02 - Disposition d'éléments d'emmagasinage, p.ex. sous la forme d'une matrice
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • G11C 11/408 - Circuits d'adressage
  • G11C 11/4096 - Circuits de commande ou de gestion d'entrée/sortie [E/S, I/O] de données, p.ex. circuits pour la lecture ou l'écriture, circuits d'attaque d'entrée/sortie ou commutateurs de lignes de bits

90.

QUANTIFYING THE HUMAN-LIKENESS OF ARTIFICIALLY INTELLIGENT AGENTS USING STATISTICAL METHODS AND TECHNIQUES

      
Numéro d'application US2023013963
Numéro de publication 2023/164223
Statut Délivré - en vigueur
Date de dépôt 2023-02-27
Date de publication 2023-08-31
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Colbert, Ian Charles
  • Saeedi, Mehdi
  • Sines, Gabor
  • Perry, Thomas Daniel

Abrégé

An apparatus includes a processor configured to determine a first distribution associated with an artificial agent based on behavior associated with the artificial agent and a second distribution based on behavior of a user. The processor is further configured to generate a human-likeness similarity measurement by comparing the first distribution to the second distribution and modify the behavior of the artificial agent in response to the similarity measurement failing to satisfy a similarity threshold.

Classes IPC  ?

  • G06N 20/00 - Apprentissage automatique
  • G06F 17/18 - Opérations mathématiques complexes pour l'évaluation de données statistiques

91.

BVH NODE ORDERING FOR EFFICIENT RAY TRACING

      
Numéro d'application US2022047898
Numéro de publication 2023/129260
Statut Délivré - en vigueur
Date de dépôt 2022-10-26
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Tsakok, John Alexandre
  • Saleh, Skyler Jonathon

Abrégé

Methods and systems are disclosed for traversing nodes in a BVH tree by an intersection engine. Techniques disclosed comprise receiving, by the intersection engine, a traversal instruction, including a tracing-mode, ray data, and an identifier of a node to be traversed. Where the tracing-mode includes a closest hit mode and a first hit mode. If the node to be traversed is an internal node, the intersection engine determines, based on the tracing-mode, an order in which children nodes of the node are to be next traversed and output identifiers of the children nodes in the determined order.

Classes IPC  ?

92.

BOUNDING VOLUME HIERARCHY BOX NODE COMPRESSION

      
Numéro d'application US2022048892
Numéro de publication 2023/129276
Statut Délivré - en vigueur
Date de dépôt 2022-11-03
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Saleh, Skyler Jonathon
  • Huang, Chen

Abrégé

A technique for performing ray tracing operations is provided. The technique includes combining one or more common exponent values of a compressed box node with one or more minimum vertex mantissas of the compressed box node and one or more maximum vertex mantissas of the compressed box node to obtain one or more minimum vertices and one or more maximum vertices; and combining a minimum vertex of the one or more minimum vertices and a maximum vertex of the one or more maximum vertices to obtain a bounding box for a compressed box data item of the compressed box node.

Classes IPC  ?

93.

METHOD AND APPARATUS FOR MANAGING POWER STATES

      
Numéro d'application US2022049604
Numéro de publication 2023/129285
Statut Délivré - en vigueur
Date de dépôt 2022-11-10
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Branover, Alexander, J.
  • Gibney, Thomas, J.
  • Kosonocky, Stephen, V.
  • Doctor, Mihir Shaileshbhai
  • Petry, John, P.
  • Paul, Indrani
  • Tsien, Benjamin
  • Weaver, Christopher, T.

Abrégé

A method and apparatus for managing power states in a computer system includes, responsive to an event received by a processor, powering up a first circuitry. Responsive to the event not being serviceable by the first circuitry, powering up at least a second circuitry of the computer system to service the event.

Classes IPC  ?

  • G06F 1/3203 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements

94.

COMMON CIRCUITRY FOR TRIANGLE INTERSECTION AND INSTANCE TRANSFORMATION FOR RAY TRACING

      
Numéro d'application US2022049619
Numéro de publication 2023/129286
Statut Délivré - en vigueur
Date de dépôt 2022-11-10
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Saleh, Skyler Jonathon
  • Ghodrat, Fataneh F.
  • Allan, Jeffrey Christopher

Abrégé

A technique for performing ray tracing operations is provided. The technique includes traversing through a bounding volume hierarchy to an instance node; performing an instance node transform using common circuitry; traversing to a leaf node of the bounding volume hierarchy; and performing an intersection test for the leaf node using the common circuitry.

Classes IPC  ?

  • G06T 15/06 - Lancer de rayon
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]
  • G06T 1/00 - Traitement de données d'image, d'application générale

95.

IOMMU COLLOCATED RESOURCE MANAGER

      
Numéro d'application US2022050488
Numéro de publication 2023/129300
Statut Délivré - en vigueur
Date de dépôt 2022-11-18
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Blagodurov, Sergey
  • Ahmad, Masab

Abrégé

Devices, methods and systems for managing resources in a computing device. Information regarding resource usage is captured. A prediction is generated, based on the information, that resource usage by a processor will exceed a threshold during an upcoming time. An operating parameter of the processor is adjusted, based on the prediction. In some implementations, information regarding memory bandwidth is captured. A prediction is generated, based on the information, that a memory region stored in a first memory device will be addressed by a memory intensive instruction during an upcoming time period. Data stored in the memory region is moved to a second memory device, based on the prediction.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

96.

INLINE SUSPENSION OF AN ACCELERATED PROCESSING UNIT

      
Numéro d'application US2022050491
Numéro de publication 2023/129301
Statut Délivré - en vigueur
Date de dépôt 2022-11-18
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Ashkar, Alexander Fuad
  • Nijasure, Mangesh P.
  • Khraisha, Rakan Z.
  • Rastogi, Manu

Abrégé

Methods and systems are disclosed for inline suspension of an accelerated processing unit (APU). Techniques include receiving a packet, including a mode of operation and commands to be executed by the APU; suspending execution of commands received in previous packets when the mode of operation is a suspension initiation mode; and executing, by the APU, the commands in the received packet. The execution of the suspended commands is restored when the mode of operation in a subsequently received packet is a suspension conclusion mode.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 15/80 - Architectures de calculateurs universels à programmes enregistrés comprenant un ensemble d'unités de traitement à commande commune, p.ex. plusieurs processeurs de données à instruction unique
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

97.

EMULATING PERFORMANCE OF PRIOR GENERATION PLATFORMS

      
Numéro d'application US2022050494
Numéro de publication 2023/129302
Statut Délivré - en vigueur
Date de dépôt 2022-11-18
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • George, Richard E.
  • Viswanathan, Vidyashankar
  • Chow, Michael Y.

Abrégé

Methods and systems are disclosed for emulating, in a platform, the performance of a target platform. Techniques disclosed include receiving, by the platform, values of system features, associated with a target performance of the target platform; and setting, by the platform, one or more configuration knobs, based on the received values of system features, to match a performance of the platform to the target performance of the target platform.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 8/41 - Compilation
  • G06F 8/76 - Adaptation d’un code de programme pour fonctionner dans un environnement différent; Portage

98.

CASCADING EXECUTION OF ATOMIC OPERATIONS

      
Numéro d'application US2022052995
Numéro de publication 2023/129392
Statut Délivré - en vigueur
Date de dépôt 2022-12-15
Date de publication 2023-07-06
Propriétaire
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventeur(s)
  • Mirza, Jimshed
  • Fowler, Mark

Abrégé

Cascading execution of atomic operations, including: receiving a request for each thread of a plurality of threads to perform an atomic operation, wherein the plurality of threads comprises a plurality of thread subsets each corresponding to a local memory, wherein the local memory for a thread subset is accessible by the thread subset and inaccessible to a remainder of threads in the plurality of threads; generating a plurality of intermediate results by performing, by each thread subset, the atomic operation in the local memory corresponding to the thread subset; and generating a result for the request by aggregating the plurality of intermediate results in a shared memory accessible to all threads in the plurality of threads.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]

99.

PRIORITY INVERSION MITIGATION

      
Numéro d'application US2022053010
Numéro de publication 2023/129394
Statut Délivré - en vigueur
Date de dépôt 2022-12-15
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Ukidave, Yash
  • Ramsey, Randy
  • Pathak, Nishank
  • Turkmen, Baturay

Abrégé

Parallel processors typically allocate resources to workloads based on workload priority. Priority inversion of resource allocation between workloads of different priorities reduces the operating efficiency of a parallel processor in some cases. A parallel processor [100] mitigates priority inversion by soft-locking resources to prevent their allocation for the processing of lower priority workloads. Soft-locking is enabled responsive to a soft-lock condition, such as one or more priority inversion heuristics [110] exceeding corresponding thresholds or multiple failed allocations of higher priority workloads within a time period. In some cases, priority inversion heuristics include quantities of higher priority workloads and lower priority workloads that are in-flight or incoming, ratios between such quantities, quantities of render targets, or a combination of these. The soft-lock is released responsive to expiry of a soft-lock timer or incoming or in-flight higher priority workloads falling below a threshold, for example.

Classes IPC  ?

  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 1/60 - Gestion de mémoire
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]

100.

MULTI-CHIPLET CLOCK DELAY COMPENSATION

      
Numéro d'application US2022053073
Numéro de publication 2023/129399
Statut Délivré - en vigueur
Date de dépôt 2022-12-15
Date de publication 2023-07-06
Propriétaire ADVANCED MICRO DEVICES, INC. (USA)
Inventeur(s)
  • Kashem, Anwar
  • Eaton, Craig Daniel
  • Ashtiani, Pouya Najafi
  • John, Deepesh

Abrégé

Methods and systems are disclosed for clock delay compensation in a multiple chiplet system. Techniques disclosed include distributing, by a clock generator, a clock signal across distribution trees of respective chiplets; measuring phases, by phase detectors, where each phase measurement is associated with a chiplet of the chiplets and is indicative of a propagation speed of the clock signal through the distribution tree of the chiplet. Then, for each chiplet, techniques are further disclosed that determine, by a microcontroller, based on the phase measurements associated with the chiplet, a delay offset, and that delay, based on the delay offset, the propagation of the clock signal through the distribution tree of the chiplet using a delay unit associated with the chiplet.

Classes IPC  ?

  • H04L 7/00 - Dispositions pour synchroniser le récepteur avec l'émetteur
  • H04L 7/04 - Commande de vitesse ou de phase au moyen de signaux de synchronisation
  1     2     3     ...     14        Prochaine page