National Taiwan University

Taïwan, Province de Chine

Retour au propriétaire

1-100 de 1 140 pour National Taiwan University Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 1 133
        Marque 7
Juridiction
        États-Unis 1 063
        International 62
        Canada 15
Date
Nouveautés (dernières 4 semaines) 13
2024 avril (MACJ) 7
2024 mars 10
2024 février 6
2024 janvier 13
Voir plus
Classe IPC
H01L 29/66 - Types de dispositifs semi-conducteurs 148
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 84
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée 82
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices 59
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter 54
Voir plus
Classe NICE
10 - Appareils et instruments médicaux 3
09 - Appareils et instruments scientifiques et électriques 2
42 - Services scientifiques, technologiques et industriels, recherche et conception 2
41 - Éducation, divertissements, activités sportives et culturelles 1
Statut
En Instance 165
Enregistré / En vigueur 975
  1     2     3     ...     12        Prochaine page

1.

pH-RESPONSIVE HYDROGEL AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18075339
Statut En instance
Date de dépôt 2022-12-05
Date de la première publication 2024-04-18
Propriétaire
  • National Health Research Institutes (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Feng-Huei
  • Tang, Rui-Chian
  • Chen, Tzu-Chien

Abrégé

A pH-responsive hydrogel, which is synthesized by using mixed pectin and sucralfate treated with a small amount of acid to form a pH-responsive hydrogel. The pH-responsive hydrogel can form a temporary coating on the surface of the gastrointestinal tract to reduce excessive nutrient absorption, and exhibits excellent barrier properties and mucosal adhesion effects, which are useful for reducing blood sugar rise and weight gain, the liver fat accumulation, body fat accumulation and blood low-density lipoprotein that have a significant effect. In addition, the technical principles disclosed in the pH-responsive hydrogel should be applied to other polymer materials to manufacture different pH-responsive hydrogels.

Classes IPC  ?

  • A61K 31/732 - Pectine
  • A61K 9/06 - Onguents; Excipients pour ceux-ci
  • A61K 31/737 - Polysaccharides sulfatés, p.ex. sulfate de chondroïtine, sulfate de dermatane
  • A61P 3/04 - Anorexigènes; Médicaments de l'obésité

2.

TRANSMISSION DEVICE FOR SUPPRESSING GLASS FIBER EFFECT

      
Numéro d'application 18058381
Statut En instance
Date de dépôt 2022-11-23
Date de la première publication 2024-04-18
Propriétaire
  • UNIMICRON TECHNOLOGY CORP. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Chin-Hsun
  • Wu, Ruey-Beei
  • Chen, Ching-Sheng
  • Hung, Chun-Jui
  • Liao, Wei-Yu
  • Chang, Chi-Min

Abrégé

A transmission device for suppressing the glass-fiber effect includes a circuit board and a transmission line. The circuit board includes a plurality of glass fibers, so as to define a fiber pitch. The transmission line is disposed on the circuit board. The transmission line includes a plurality of non-parallel segments. Each of the non-parallel segments of the transmission line has an offset distance with respect to a reference line. The offset distance is longer than or equal to a half of the fiber pitch.

Classes IPC  ?

3.

TRANSMISSION DEVICE

      
Numéro d'application 18058799
Statut En instance
Date de dépôt 2022-11-25
Date de la première publication 2024-04-18
Propriétaire
  • UNIMICRON TECHNOLOGY CORP. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Yu-Kuang
  • Wu, Ruey-Beei
  • Chen, Ching-Sheng
  • Huang, Chun-Jui
  • Liao, Wei-Yu
  • Chang, Chi-Min

Abrégé

A transmission device includes a daisy chain structure composed of at least three daisy chain units arranged periodically and continuously. Each of the daisy chain units includes first, second and third conductive lines, and first and second conductive pillars. The first and second conductive lines at a first layer extend along a first direction and are discontinuously arranged. The third conductive line at a second layer extends along the first direction and is substantially parallel to the first and second conductive lines. The first conductive pillar extends in a second direction. The second direction is different from the first direction. A first part of the first conductive pillar is connected to the first and third conductive lines. The second conductive pillar extends in the second direction. A first part of the second conductive pillar is connected to the second and third conductive lines.

Classes IPC  ?

4.

REACTION PLATFORM FOR ACCELERATED BIOCHEMICAL REACTION

      
Numéro d'application 18358320
Statut En instance
Date de dépôt 2023-07-25
Date de la première publication 2024-04-18
Propriétaire
  • National Taiwan University (Taïwan, Province de Chine)
  • National Taiwan University Hospital (Taïwan, Province de Chine)
  • Academia Sinica (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, An-Bang
  • Chen, Shih-Yu
  • Su, Tung-Hung
  • Chu, Chia-Chi
  • Yen, Chia-Chien
  • Chiang, Yu-Wei

Abrégé

The present invention relates to a reaction platform, which comprises: a machine body with a bottom plate for placing non-porous substrates; and a coater module configured on the top of the machine body and capable of maintaining a preset of a predetermined height for moving along the surface of non-porous substrate, wherein the coater module has one or more slits, and a target liquid can be directly injected or sucking in from the outside of the coater module through the slit, and spreading the target liquid onto a surface of the non-porous substrate while moving along the non-porous substrate; wherein the surface of the non-porous substrate has a target to be coated. The reaction platform of the present invention can not only save time, labor and cost, but also have accurate and reproducible experimental results, showing better results than traditional methods.

Classes IPC  ?

  • G01N 33/53 - Tests immunologiques; Tests faisant intervenir la formation de liaisons biospécifiques; Matériaux à cet effet
  • G01N 1/31 - Appareils à cet effet
  • G01N 1/34 - Purification; Nettoyage

5.

MESOSCALE NONLINEAR OPTICAL GIGASCOPE SYSTEM WITH REAL-TIME GIGAPIXEL MOSAIC-STITCHING AND DIGITAL DISPLAY

      
Numéro d'application 17963201
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-04-11
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chi-Kuang
  • Borah, Bhaskar Jyoti

Abrégé

A mesoscale nonlinear optical gigascope (mNLOG) system is provided to assist with rapid gigapixel resonant-raster laser-scanning and post-processing-free digital display of a centimeter-scale biological specimen in real-time. The mNLOG system enables a half-a-micron digital resolution with satisfied Nyquist-Shannon criterion while providing an aliasing-free optically-sectioned cumulative point-scanning area ranging from 1 square millimeter (mm) up-to 400 square mm. The mNLOG system is configured to perform a rapid artifact-compensated two-dimensional large-field mosaic-stitching (rac2D-LMS) process, so as to provide post-processing-free gigapixel mosaic-stitching and real-time digital display with a sustained effective data throughput of at least 500 Megabits per second (Mbps).

Classes IPC  ?

  • G02B 1/00 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES Éléments optiques caractérisés par la substance dont ils sont faits; Revêtements optiques pour éléments optiques

6.

METHOD FOR NON-RESIST NANOLITHOGRAPHY

      
Numéro d'application 18525131
Statut En instance
Date de dépôt 2023-11-30
Date de la première publication 2024-04-11
Propriétaire
  • Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Miin-Jang
  • Tsai, Kuen-Yu
  • Liu, Chee-Wee

Abrégé

A method for forming a semiconductor device is provided. A first patterned mask is formed on the substrate, the first patterned mask having a first opening therein. A second patterned mask is formed on the substrate in the first opening, the first patterned mask and the second patterned mask forming a combined patterned mask. The combined patterned mask is formed having one or more second openings, wherein one or more unmasked portions of the substrate are exposed. Trenches that correspond to the one or more unmasked portions of the substrate are formed in the substrate in the one or more second openings.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques

7.

Rapid fresh digital-pathology method

      
Numéro d'application 17963247
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-04-11
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chi-Kuang
  • Borah, Bhaskar Jyoti
  • Tseng, Yao-Chen

Abrégé

A rapid fresh digital-pathology (RFP) method for assessing an excised unfixed biological specimen stained with hematoxylin (H) or eosin (E) or both hematoxylin and eosin (HE) staining dyes. The RFP method is assisted by a rapid tissue staining (RTS) procedure which is performed on the excised unfixed biological specimen, involving a short fixation; an H-staining; a rinsing; a bluing; an E-staining; a rinsing; and finally, a covering of a stained specimen with a coverslip. The RFP method is further assisted by a multimodal nonlinear optical laser-raster-scanning approach to provide with a nonlinear multi-harmonic generation and/or a nonlinear multi-photon excitation fluorescence signal(s) for multichannel digitization and real-time digital display of H- or E- or HE-specific histopathological features while providing a centimeter-scale imaging area, a submicron digital resolution, and a sustained effective data throughput of at least 500 Megabits per second (Mbps).

Classes IPC  ?

8.

MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE

      
Numéro d'application 18163019
Statut En instance
Date de dépôt 2023-02-01
Date de la première publication 2024-03-28
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Chun-Yi
  • Chuu, Chih-Piao
  • Chen, Miin-Jang

Abrégé

A method includes following steps. A first precursor is pulsed over a substrate such that first precursor adsorbs on a first region and a second region of the substrate. A first plurality of the first precursor adsorbing on the first region is then removed using a plasma, while leaving a second plurality of the first precursor adsorbing on the second region. A second precursor is then pulsed to the substrate to form a monolayer of a film on the second region and a material on the first region. The material is then removed using a plasma. The substrate is biased during removing the material.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/40 - Oxydes
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/56 - Post-traitement

9.

MEMORY DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18182991
Statut En instance
Date de dépôt 2023-03-13
Date de la première publication 2024-03-28
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Shih-Yen
  • Tsai, Po-Cheng

Abrégé

A memory device includes a substrate, a 2-D material channel layer, a 2-D material charge storage layer, source/drain contacts, a gate dielectric layer, and a gate electrode. The 2-D material channel layer is over the substrate. The 2-D material charge storage layer is over the 2-D material channel layer. The 2-D charge storage layer and the 2-D material channel layer include the same chalcogen atoms. The source/drain contacts are over the 2-D material channel layer. The gate dielectric layer covers the source/drain contacts and the 2-D material charge storage layer. The gate electrode is over the gate dielectric layer.

Classes IPC  ?

  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  • H10B 63/00 - Dispositifs de mémoire par changement de résistance, p.ex. dispositifs RAM résistifs [ReRAM]

10.

GENE THERAPY FOR AADC DEFICIENCY

      
Numéro d'application 18524967
Statut En instance
Date de dépôt 2023-11-30
Date de la première publication 2024-03-28
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Pykett, Mark
  • Thorn, Richard
  • Hwu, Wuh-Liang ("paul")

Abrégé

The present invention is directed to compositions and methods for treating aromatic L-amino acid decarboxylase (AADC) deficiency. This invention includes a method of treating AADC deficiency in a pediatric subject, comprising the steps of: (a) providing a pharmaceutical formulation comprising an rAAV2-hAADC vector, (b) stereotactically delivering the pharmaceutical formulation to at least one target site in the brain of the subject in a dose of an amount at least about 1.8×1011 vg; wherein delivering the pharmaceutical formulation to the brain is optionally by frameless stereotaxy, and optionally wherein the dose is an amount of at least about 2.4×1011 vg and in some embodiments wherein the pharmaceutical formulation comprises a rAAV2-hAADC vector concentration of about 5.7×1011 vg/mL. This invention is also directed to methods for treating aromatic L-amino acid decarboxylase (AADC) deficiency, wherein the method optionally further comprises the step of administering a therapeutically effective dose of dopamine-antagonist to the subject such as risperidone. This invention is also directed to methods for treating aromatic L-amino acid decarboxylase (AADC) deficiency, wherein the method optionally comprises providing a pharmaceutical formulation comprising an rAAV2-hAADC vector, and empty capsids.

Classes IPC  ?

  • A61K 48/00 - Préparations médicinales contenant du matériel génétique qui est introduit dans des cellules du corps vivant pour traiter des maladies génétiques; Thérapie génique
  • A61K 9/51 - Nanocapsules
  • A61K 31/4515 - Pipéridines non condensées, p.ex. pipérocaïne ayant un groupe butyrophénone en position 1, p.ex. halopéridol
  • A61K 31/5513 - 1,4-Benzodiazépines, p.ex. diazépam
  • A61P 25/00 - Médicaments pour le traitement des troubles du système nerveux
  • C12N 9/88 - Lyases (4.)
  • C12N 15/113 - Acides nucléiques non codants modulant l'expression des gènes, p.ex. oligonucléotides anti-sens
  • C12N 15/62 - Séquences d'ADN codant pour des protéines de fusion
  • C12N 15/85 - Vecteurs ou systèmes d'expression spécialement adaptés aux hôtes eucaryotes pour cellules animales
  • C12N 15/86 - Vecteurs viraux
  • C12N 15/864 - Vecteurs parvoviraux

11.

PIEZOELECTRIC MATERIAL COMPOSITE MEMBRANE ACOUSTIC COMPONENT WITH BROADBAND AND HIGH SOUND QUALITY AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17981078
Statut En instance
Date de dépôt 2022-11-04
Date de la première publication 2024-03-28
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Huang, Yu-Hsi
  • Huang, Yu-Chen

Abrégé

A piezoelectric material composite membrane acoustic component with broadband and high sound quality comprises a vibrating membrane which is an electrically conductive membrane, a supporting frame having a hollow portion penetrating the supporting frame, a piezoelectric plat set including a first-piezoelectric-plate and a second-piezoelectric-plate formed on and electrically connected to the first-piezoelectric-plate and an AC power. A fixing portion of the vibrating membrane is fixed by the supporting frame. Each of the first-piezoelectric-plate and the second-piezoelectric-plate includes a top-electrode-layer, a piezoelectric-layer and a bottom-electrode-layer. The bottom-electrode-layer of the first-piezoelectric-plate is fixed on and electrically connected to a piezoelectric-plate fixing portion of the vibrating membrane. A spacing portion of the vibrating membrane is between the fixing portion and the piezoelectric-plate fixing portion. The AC power includes a first electrode and a second electrode electrically connected to the top-electrode-layer of the first-piezoelectric-plate and the vibrating membrane, respectively.

Classes IPC  ?

  • H10N 30/20 - Dispositifs piézo-électriques ou électrostrictifs à entrée électrique et sortie mécanique, p.ex. fonctionnant comme actionneurs ou comme vibrateurs
  • H10N 30/092 - Formation de matériaux composites
  • H10N 30/85 - Matériaux actifs piézo-électriques ou électrostrictifs

12.

PCR DETECTION DEVICE AND SYSTEM

      
Numéro d'application 17935917
Statut En instance
Date de dépôt 2022-09-27
Date de la première publication 2024-03-28
Propriétaire
  • National Taiwan University (Taïwan, Province de Chine)
  • Taipei Medical University (Taïwan, Province de Chine)
Inventeur(s)
  • Sheen, Horn-Jiunn
  • Wei, Pei-Kuen
  • Fan, Yu-Jui
  • Juan, Po-Han
  • Huang, Yung-Yu

Abrégé

The present disclosure provide a detection device of microfluidic polymerase chain reaction (PCR) and a detection system including the same. This all-in-one device and system may be used to detect at least one biological detection chip, so that can amplify gene fragments at the front-end and detect them at the back-end immediately, decreasing the time required for the analysis, enabling real-time, low-cost, and rapid detection of various viruses, such as EBV and COVID-19, without compromising accuracy or sensitivity.

Classes IPC  ?

  • B01L 3/00 - Récipients ou ustensiles pour laboratoires, p.ex. verrerie de laboratoire; Compte-gouttes
  • B01L 7/00 - Appareils de chauffage ou de refroidissement; Dispositifs d'isolation thermique
  • C12M 1/38 - Commande sensible à la température

13.

INTEGRATED CIRCUIT STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18151963
Statut En instance
Date de dépôt 2023-01-09
Date de la première publication 2024-03-28
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Shih-Yen
  • Chang, Che-Jia

Abrégé

A method includes performing a first deposition process to form a first graphene layer over a substrate, the first deposition process being performed under a first temperature and a first pressure; performing a second deposition process to form a second graphene layer over the first graphene layer, the second deposition process being performed under a second temperature and a second pressure, in which the first temperature is higher than the second temperature, and the first pressure is lower than the second pressure; forming a gate structure over the second graphene layer; and forming source/drain contacts on opposite sides of the gate structure and electrically connected to the first and second graphene layers.

Classes IPC  ?

  • H01L 29/16 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/66 - Types de dispositifs semi-conducteurs

14.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18151304
Statut En instance
Date de dépôt 2023-01-06
Date de la première publication 2024-03-21
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Shih-Yen
  • Tsai, Po-Cheng

Abrégé

A method includes forming a gate dielectric layer over a gate electrode layer; forming a 2-D material layer over the gate dielectric layer; forming source/drain contacts over source/drain regions of the 2-D material layer, in which each of the source/drain contacts includes an antimonene layer and a metal layer over the antimonene layer; and after forming the source/drain contacts, removing a first portion of the 2-D material layer exposed by the source/drain contacts, while leaving a second portion of the 2-D material layer remaining over the gate dielectric layer as a channel region.

Classes IPC  ?

  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/8234 - Technologie MIS
  • H01L 29/04 - Corps semi-conducteurs caractérisés par leur structure cristalline, p.ex. polycristalline, cubique ou à orientation particulière des plans cristallins
  • H01L 29/22 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIBVI

15.

SEMICONDUCTOR DEVICE HAVING 2D CHANNEL LAYER

      
Numéro d'application 18515148
Statut En instance
Date de dépôt 2023-11-20
Date de la première publication 2024-03-14
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Yun-Yuan
  • Hsiao, Chih-Hsiang
  • Ni, I-Chih
  • Wu, Chih-I

Abrégé

A device includes a substrate, a chalcogenide channel layer, a chalcogenide barrier layer, source/drain contacts, and a gate electrode. The chalcogenide channel layer is over the substrate. The chalcogenide barrier layer is over the chalcogenide channel layer. A dopant concentration of the chalcogenide barrier layer is greater than a dopant concentration of the chalcogenide channel layer. The source/drain contacts are over the chalcogenide channel layer. The gate electrode is over the substrate.

Classes IPC  ?

  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/40 - Electrodes
  • H01L 29/41 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative

16.

METHOD OF DETECTING SLEEP DISORDER BASED ON EEG SIGNAL AND DEVICE OF THE SAME

      
Numéro d'application 18465927
Statut En instance
Date de dépôt 2023-09-12
Date de la première publication 2024-03-14
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Phone
  • Lin, Xin-Xue

Abrégé

The present invention discloses a method of detecting sleep disorder based on an EEG signal and device of the same. The method and device only need an EEG signal for analysis to determine sleep disorder and abnormal score. Therefore, the method and device may reduce cost of collecting physical information and avoid from uncomfortable feeling of user who wears several sensors.

Classes IPC  ?

  • A61B 5/00 - Mesure servant à établir un diagnostic ; Identification des individus
  • A61B 5/372 - Analyse des électroencéphalogrammes

17.

SEMICONDUCTOR DEVICE AND FORMING METHOD THEREOF

      
Numéro d'application 18507957
Statut En instance
Date de dépôt 2023-11-13
Date de la première publication 2024-03-14
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Miin-Jang
  • Yi, Sheng-Han
  • Lu, Chen-Hsuan

Abrégé

A method includes forming source/drain regions in a semiconductor substrate; depositing a zirconium-containing oxide layer over a channel region in the semiconductor substrate and between the source/drain region; forming a titanium oxide layer in contact with the zirconium-containing oxide layer; forming a top electrode over the zirconium-containing oxide layer, wherein no annealing is performed after depositing the zirconium-containing oxide layer and prior to forming the top electrode.

Classes IPC  ?

  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

18.

METHOD AND KIT FOR MONITORING NON-SMALL CELL LUNG CANCER

      
Numéro d'application 18279824
Statut En instance
Date de dépôt 2022-03-01
Date de la première publication 2024-02-29
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Hsing-Chen
  • Yu, Chong-Jen
  • Lu, Hsuan-Hsuan
  • Lin, Shu-Yung
  • Huang, Yi-Jhen
  • Dong, Chen-Yuan

Abrégé

Provided is a method for diagnosing and monitoring progression of cancer or effectiveness of a therapeutic treatment. The method includes detecting a methylation level of at least one gene in a biological sample containing circulating free DNA. Also provided are primer pairs and probes for diagnosis or prognosis of cancer in a subject in need thereof.

Classes IPC  ?

  • C12Q 1/6886 - Produits d’acides nucléiques utilisés dans l’analyse d’acides nucléiques, p.ex. amorces ou sondes pour les maladies provoquées par des altérations du matériel génétique pour le cancer

19.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17890080
Statut En instance
Date de dépôt 2022-08-17
Date de la première publication 2024-02-22
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tu, Chien-Te
  • Liu, Chee-Wee

Abrégé

A method includes forming an epitaxial stack over a semiconductor substrate, wherein the epitaxial stack comprises a plurality of sacrificial layers and a plurality of channel layers alternately arranged over the semiconductor substrate, and each of the sacrificial layers is a multi-layer film comprising a bottom epitaxial layer, a middle epitaxial layer over the bottom epitaxial layer, and a top epitaxial layer over the middle epitaxial layer, wherein the middle epitaxial layer has a lower germanium concentration than the bottom and top epitaxial layers; laterally recessing the sacrificial layers to form sidewall recesses alternating with the channel layers; forming inner spacers in the sidewall recesses; forming source/drain epitaxial structures on opposite sides of the channel layers.

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/786 - Transistors à couche mince
  • H01L 21/8234 - Technologie MIS

20.

PROBIOTIC COMPOSITIONS AND USES THEREOF

      
Numéro d'application 18502098
Statut En instance
Date de dépôt 2023-11-06
Date de la première publication 2024-02-22
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Ming-Ju
  • Huang, Hsiao-Wen

Abrégé

An isolated bacterial strain of Lactiplantibacillus plantarum subsp. plantarum MFM 30-3 deposited under the DSMZ Accession No. DSM 34213 is provided; a probiotic composition including an Lactiplantibacillus plantarum subsp. plantarum MFM 30-3 and optionally, one or more additional probiotic organisms that enhance the probiotic activity of the Lactiplantibacillus plantarum subsp. plantarum MFM 30-3 is also provided; and a method for preventing or treating chronic kidney disease in a subject in need thereof including: administering to the subject a pharmaceutically effective amount of the probiotic composition including an isolated bacterial strain of Lactiplantibacillus plantarum subsp. plantarum MFM 30-3, and optionally, one or more additional probiotic organisms that enhance the probiotic activity of the Lactiplantibacillus plantarum subsp. plantarum MFM 30-3 is further provided.

Classes IPC  ?

  • A61K 35/747 - Lactobacilles, p.ex. L. acidophilus ou L. brevis
  • A23L 33/135 - Bactéries ou leurs dérivés, p.ex. probiotiques
  • A61P 13/12 - Médicaments pour le traitement des troubles du système urinaire des reins
  • C12N 1/20 - Bactéries; Leurs milieux de culture

21.

COMPUTING-IN-MEMORY CIRCUITRY

      
Numéro d'application 17883630
Statut En instance
Date de dépôt 2022-08-09
Date de la première publication 2024-02-15
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Hsu, Ying-Tuan
  • Liu, Tsung-Te
  • Chiueh, Tzi-Dar

Abrégé

A computing-in-memory circuitry includes multiple digital-to-analog converters, multiple computing arrays, and multiple charge processing networks. The digital-to-analog converters convert external data into input data and the digital-to-analog converters are connected in series with a corresponding plurality of output capacitor pairs. The computing arrays receive the input data from both ends and execute a computation to output a first computing value. The charge processing networks receive and accumulate the first computing values over a predetermined time interval through switching pairs in series with the output capacitor pairs. The charge processing networks evenly distribute charges of the first computing value to selected output capacitor pairs and compare voltage differences between two ends of the output capacitor pairs to output a second computing value.

Classes IPC  ?

  • G11C 7/16 - Emmagasinage de signaux analogiques dans des mémoires numériques utilisant une disposition comprenant des convertisseurs analogiques/numériques [A/N], des mémoires numériques et des convertisseurs numériques/analogiques [N/A]
  • G11C 7/12 - Circuits de commande de lignes de bits, p.ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, circuits d'égalisation, pour lignes de bits
  • G11C 7/22 - Circuits de synchronisation ou d'horloge pour la lecture-écriture [R-W]; Générateurs ou gestion de signaux de commande pour la lecture-écriture [R-W]
  • G11C 8/08 - Circuits de commande de lignes de mots, p.ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots

22.

GROUP-BASED RADIO RESOURCE ALLOCATION BETWEEN A TN AND AN NTN NETWORKS

      
Numéro d'application 18356106
Statut En instance
Date de dépôt 2023-07-20
Date de la première publication 2024-02-08
Propriétaire
  • MEDIATEK INC. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Hao-Wei
  • Fu, I-Kang
  • Chen, Chun-Chia
  • Liao, Chen-I
  • Wei, Hung-Yu

Abrégé

A method for performing radio resource allocation in a TN-NTN mixed system is provided. The system includes a satellite that covers an NTN cell, and a plurality of TN base stations (TN BSs) within a coverage of the satellite. The NTN cell serves a plurality of NTN user equipments (NTN UEs). The method includes dividing the plurality of NTN UEs into X NTN UE groups; partitioning a radio resource into M parts, where M≥X; dividing the plurality of TN BSs into M TN BS groups; deciding radio resource allocation regarding the plurality of NTN UEs, by allocating an i-th part of the radio resource to an i-th NTN UE group, where i=1, 2, . . . , X; and deciding radio resource allocation regarding the plurality of TN BSs, by allocating a sum of a j-th to an M-th parts of the radio resource to a j-th TN BS group, where j=1, 2, . . . , M.

Classes IPC  ?

  • H04W 72/121 - Planification du trafic sans fil pour les groupes de terminaux ou d’utilisateurs
  • H04B 7/185 - Stations spatiales ou aériennes

23.

METHOD OF RADIO RESOURCE ALLOCATION FOR A TN-NTN NETWORK

      
Numéro d'application 18361045
Statut En instance
Date de dépôt 2023-07-28
Date de la première publication 2024-02-08
Propriétaire
  • MEDIATEK INC. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Hao-Wei
  • Fu, I-Kang
  • Chen, Chun-Chia
  • Liao, Chen-I
  • Wei, Hung-Yu

Abrégé

This disclosure provides a method, an apparatus, and a non-transitory computer-readable medium for radio resource allocation for a terrestrial network (TN) cell. In the method, the TN cell is determined to be outside a coverage of a first non-terrestrial network (NTN) cell. In response to the TN cell being outside the coverage of the first NTN cell, a radio resource is allocated to the TN cell based on a radio resource of the first NTN cell.

Classes IPC  ?

  • H04W 72/542 - Critères d’affectation ou de planification des ressources sans fil sur la base de critères de qualité en utilisant la qualité mesurée ou perçue
  • H04B 7/185 - Stations spatiales ou aériennes

24.

METHOD AND APPARATUS FOR NON-INVASIVE IMAGE-OBSERVING DENSITY OF INTRA-EPIDERMAL NERVE FIBER OF HUMAN SKIN

      
Numéro d'application 17815571
Statut En instance
Date de dépôt 2022-07-28
Date de la première publication 2024-02-01
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chi-Kuang
  • Wu, Pei-Jhe
  • Tseng, Hsiao-Chieh

Abrégé

The present invention relates to a method and apparatus for non-invasive image-observing the density of an intra-epidermal nerve fiber of human skin, in which the method includes: providing a nonlinear optical microscopy device for capturing an intra-epidermal nerve fiber structural image of an acquisition area of a to-be-tested human skin to observe continuous signals of intra-epidermal nerve fiber images, wherein the nonlinear optical microscopy device includes: a laser light source for emitting laser light with a pulsed laser, and an image processing member for processing image signals; focusing the laser light on the intra-epidermal nerve fiber to obtain nerve signals of the intra-epidermal nerve fiber that have a length of at least three points of the intra-epidermal nerve fiber, and constitute a plurality of nerve fibers; and calculating the total number of nerve fiber signals of the to-be-tested human skin, and dividing it by the total area of captured images to obtain the density of the to-be-tested human body; and evaluating and determining whether the human suffers from related neuropathy. such as peripheral neuropathy.

Classes IPC  ?

  • A61B 18/20 - Instruments, dispositifs ou procédés chirurgicaux pour transférer des formes non mécaniques d'énergie vers le corps ou à partir de celui-ci par application de radiations électromagnétiques, p.ex. de micro-ondes en utilisant des lasers
  • A61N 5/06 - Thérapie par radiations utilisant un rayonnement lumineux

25.

Electrochemical Equipment and System thereof for Reduction of Carbon Dioxide

      
Numéro d'application 18137120
Statut En instance
Date de dépôt 2023-04-20
Date de la première publication 2024-01-25
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Hao Ming
  • Chen, Tai-Lung

Abrégé

An electrochemical equipment and system thereof for reduction of carbon dioxide is provided with cathode compartment, catholyte compartment, anode compartment, anolyte chamber, and isolation unit.

Classes IPC  ?

  • C25B 3/26 - Réduction du dioxyde de carbone
  • C25B 1/02 - Hydrogène ou oxygène
  • C25B 1/23 - Oxyde de carbone ou gaz de synthèse
  • C25B 3/03 - Hydrocarbures acycliques ou cycliques
  • C25B 9/19 - Cellules comprenant des électrodes fixes de dimensions stables; Assemblages de leurs éléments de structure avec des diaphragmes
  • C25B 1/26 - Chlore; Ses composés
  • C25B 9/70 - Assemblages comprenant plusieurs cellules

26.

DRIVE SYSTEM THERMAL TEMPERATURE RISE TEST AND COMPENSATION SYSTEM

      
Numéro d'application 17933361
Statut En instance
Date de dépôt 2022-09-19
Date de la première publication 2024-01-25
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Jywe, Wen-Yuh
  • Hsieh, Tung-Hsien
  • Hsu, Chia-Ming
  • Chang, Yu-Wei
  • Huang, Sen-Yi
  • Chiu, Ching-Ying
  • Lu, Pin-Wei
  • Zeng, Jheng-Jhong

Abrégé

A drive system thermal temperature rise test and compensation system. The system has an optical non-contact type sensing head mounted on a main shaft of a machine tool, and a sensing center is formed in the center of the sensing head. A platform driven by a transmission device of the machine tool is provided with plural ball lens devices, and a temperature sensor for transmitting temperature data externally is further provided on the transmission device. After the machine tool sequentially records an original point coordinate for each ball lens center by using the sensing head, the sensing head is cyclically and sequentially moved to the original point coordinate of each ball lens, so as to measure a displacement error between the sensing center and the ball lens center resulted from thermal shifts of the transmission device, as well as capable of measuring multiaxial errors and using various axial temperatures for compensation.

Classes IPC  ?

  • G01K 3/10 - Thermomètres donnant une indication autre que la valeur instantanée de la température fournissant des valeurs différenciées par rapport au temps, p.ex. réagissant uniquement à une variation rapide de température
  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques

27.

2-D MATERIAL SEMICONDUCTOR DEVICE

      
Numéro d'application 18480787
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-01-25
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Shih-Yen
  • Tsai, Po-Cheng
  • Zhang, Yu-Wei

Abrégé

A method includes forming a 2-D material semiconductor layer over a substrate; forming source/drain electrodes covering opposite sides of the 2-D material semiconductor layer, while leaving a portion of the 2-D material semiconductor layer exposed by the source/drain electrodes; forming a first gate dielectric layer over the portion of the 2-D material semiconductor layer by using a physical deposition process; forming a second gate dielectric layer over the first gate dielectric layer by using a chemical deposition process, in which a thickness of the first gate dielectric layer is less than a thickness of the second gate dielectric layer; and forming a gate electrode over the second gate dielectric layer.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/8234 - Technologie MIS
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

28.

METHOD FOR IDENTIFYING ANTI-CANCER AGENTS USING AN IN VITRO CELL CULTURE SYSTEM THAT MAINTAINS CANCER CELL STEMNESS

      
Numéro d'application 18452952
Statut En instance
Date de dépôt 2023-08-21
Date de la première publication 2024-01-18
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Pan-Chyr
  • Chen, Huei-Wen
  • Chen, Wan-Jiun

Abrégé

An in vitro co-culture system comprising cancer-associated fibroblasts (CAFs) and cancer cells for producing and maintaining cancer stem cells and uses thereof for identifying agents capable of reducing cancer cell stemness. Also disclosed herein are a paracrine network through which CAFs facilitate production and/or maintenance of cancer stem cells and the use of components of such a paracrine network for prognosis purposes and for identifying cancer patients who are likely to respond to certain treatment.

Classes IPC  ?

  • C12N 5/095 - Cellules souches; Cellules progénitrices
  • C12N 5/09 - Cellules tumorales
  • G01N 33/50 - Analyse chimique de matériau biologique, p.ex. de sang ou d'urine; Test par des méthodes faisant intervenir la formation de liaisons biospécifiques par ligands; Test immunologique
  • G01N 33/574 - Tests immunologiques; Tests faisant intervenir la formation de liaisons biospécifiques; Matériaux à cet effet pour le cancer

29.

ARRAYED RADIO-FREQUENCY (RF) SYSTEM

      
Numéro d'application 17932029
Statut En instance
Date de dépôt 2022-09-14
Date de la première publication 2024-01-18
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Hsi-Tseng
  • Yen, Chih-Ta
  • An, Qian-Xin
  • Chen, Wei-Feng
  • Shih, Cheng-Liang

Abrégé

An arrayed RF system includes an expandable mother circuit carrier and sub-modules implemented with RF packaged radiation structures. The sub-modules are embedded onto the mother circuit carrier through plug-in interfaces to form a replaceable and expandable co-structural structure. The mother circuit carrier receives and up-converts an input intermediate-frequency signal, thereby generating first high-frequency signals. The sub-modules are horizontally embedded on the mother circuit carrier, arranged into a one-dimensional or two-dimensional array, and electrically connected to the mother circuit carrier. The RF packaged radiation structures respectively receive first high-frequency signals, thereby emitting first RF signals. The RF packaged radiation structures receive second RF signals, thereby generating second high-frequency signals. The mother circuit carrier down-converts the second high-frequency signals, thereby generating an output intermediate-frequency signal.

Classes IPC  ?

  • H05K 1/02 - Circuits imprimés - Détails
  • H01Q 1/12 - Supports; Moyens de montage
  • H05K 1/11 - Eléments imprimés pour réaliser des connexions électriques avec ou entre des circuits imprimés
  • H04B 1/40 - Circuits

30.

SEMICONDUCTOR DEVICE

      
Numéro d'application 18474894
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-01-18
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tu, Chien-Te
  • Lin, Hsin-Cheng
  • Liu, Chee-Wee

Abrégé

A device includes a bottom transistor, a top transistor, and an epitaxial isolation structure. The bottom transistor includes a first channel layer, first source/drain epitaxial structures, and a first gate structure. The first source/drain epitaxial structures are on opposite sides of the first channel layer. The first gate structure is around the first channel layer. The top transistor is over the bottom transistor and includes a second channel layer, second source/drain epitaxial structures, and a second gate structure. The second source/drain epitaxial structures are on opposite sides of the second channel layer. The second gate structure is around the second channel layer. The epitaxial isolation structure is between and in contact with one of the first source/drain epitaxial structures and one of the second source/drain epitaxial structures, such that the one of the first source/drain epitaxial structures is electrically isolated from the one of the second source/drain epitaxial structures.

Classes IPC  ?

  • H01L 21/822 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels pour produire des dispositifs, p.ex. des circuits intégrés, consistant chacun en une pluralité de composants le substrat étant un semi-conducteur, en utilisant une technologie au silicium
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/761 - Jonctions PN

31.

ELECTRONIC DEVICE

      
Numéro d'application 18475959
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-01-18
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Shih-Yuan
  • Li, Jiun-Yun
  • Xu, Rui-Fu
  • Chen, Chiung-Yu
  • Yeh, Ting-I
  • Wu, Yu-Jui
  • Chang, Yao-Chun

Abrégé

An electronic device includes a substrate, a transistor, and a ring resonator. The transistor is over the substrate. The ring resonator is over the substrate and overlaps with the transistor. The ring resonator includes a conductive loop and an impedance matching element. The conductive loop includes a loop portion having two first parts and a second part and two feeding lines. Each of the first parts of the loop portion is between the second part of the loop portion and one of the feeding lines, and a tunnel barrier of the transistor is closer to the second part than to the feeding lines. The impedance matching element is closer to the feeding lines than to the second part.

Classes IPC  ?

  • H01L 23/64 - Dispositions relatives à l'impédance
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive

32.

INTEGRATED ANTENNA DEVICE

      
Numéro d'application 17965286
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-01-18
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Hsi-Tseng
  • Yen, Chih-Ta
  • An, Qian-Xin
  • Chen, Wei-Feng
  • Shih, Cheng-Liang

Abrégé

An integrated antenna device comprises a curved-surface transmitting array and an array antenna. The curved-surface transmitting army has a plurality of focuses to homogenize its radiation gains. The array antenna is arranged between the curved-surface transmitting array and the plurality of focuses. According to the control of an active RF module of the array antenna, the array antenna emits the first-order beam and performs beam scanning. The curved-surface transmitting array is used to focus the first-order beam to produce a second-order beam with high gain. The generation of the beamforming feed excitation weight of the active RF module makes the integrated antenna device have a beam scanning mechanism. The array antenna can be formed by feeder antennas A DSP dynamic groups the feeder antennas to form subarrays, the subarrays can generate different first-order beams for multi-point communications. The first-order beams can be scanned in an interleaved fashion.

Classes IPC  ?

  • H01Q 21/20 - Réseaux d'unités d'antennes, de même polarisation, excitées individuellement et espacées entre elles les unités étant espacées le long d'un trajet curviligne ou adjacent à celui-ci
  • H01Q 3/34 - Dispositifs pour changer ou faire varier l'orientation ou la forme du diagramme de directivité des ondes rayonnées par une antenne ou un système d'antenne faisant varier la distribution de l’énergie à travers une ouverture rayonnante faisant varier la phase par des moyens électriques

33.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18352876
Statut En instance
Date de dépôt 2023-07-14
Date de la première publication 2024-01-18
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Wan, Hsien-Wen
  • Cheng, Yi-Ting
  • Hong, Ming-Hwei
  • Kwo, Juei-Nai
  • Yang, Bo-Yu
  • Hong, Yu-Jie

Abrégé

A semiconductor device includes a substrate, a semiconductor fin, a silicon layer, a gate structure, gate spacers, and source/drain structures. The semiconductor fin is over the substrate. The silicon layer is over the semiconductor fin. The gate structure is over the silicon layer, in which the gate structure includes an interfacial layer over the silicon layer, a gate dielectric layer over the interfacial layer, and a gate electrode over the gate dielectric layer. The gate spacers are on opposite sidewalls of the gate structure and in contact with the interfacial layer of the gate structure, in which a bottom surface of the interfacial layer is higher than bottom surfaces of the gate spacers. The source/drain structures are on opposite sides of the gate structure.

Classes IPC  ?

  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/16 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/786 - Transistors à couche mince

34.

CALIBRATION SYSTEM

      
Numéro d'application 18217672
Statut En instance
Date de dépôt 2023-07-03
Date de la première publication 2024-01-11
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chien, Chen-Ho
  • Hsieh, Li-Hui
  • Jaw, Jen-Jer

Abrégé

A calibration system is configured to detect a capacity difference of a tipping bucket rain gauge and determine an operational condition of the tipping bucket rain gauge. The calibration system includes a field calibration device, a detection communication box, and an application. The application is executed by an electronic device, which, upon receiving metering information and environmental information transmitted by the detection communication box, can calculate the capacity difference of the tipping bucket rain gauge. The calibration system allows an operator to directly perform testing of the capacity difference and the operational condition of the tipping bucket rain gauge, so as to provide users with follow-up measures or suggestions.

Classes IPC  ?

  • G01F 25/20 - Test ou étalonnage des appareils pour la mesure du volume, du débit volumétrique ou du niveau des liquides, ou des appareils pour compter par volume des appareils pour mesurer le niveau des liquides
  • G01W 1/14 - Pluviomètres ou udomètres

35.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18471859
Statut En instance
Date de dépôt 2023-09-21
Date de la première publication 2024-01-11
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Huang, Jian-Zhi
  • Hsu, Yun-Hsuan
  • Ni, I-Chih
  • Wu, Chih-I

Abrégé

A semiconductor structure includes a semiconductor substrate, a gate structure, a source/drain structure, a contact, a dielectric layer, and a metal line. The gate structure is on the semiconductor substrate. The source/drain structure is adjacent to the gate structure. The contact lands on the source/drain structure. The dielectric layer spas the contact and the gate structure. The metal line extends through the dielectric layer to the contact. The metal line includes a liner over the contact, a magnetic layer over the liner, a graphene layer over the magnetic layer, and a filling metal over the graphene layer. The magnetic layer has a greater permeability coefficient than the filling metal.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/16 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée
  • H01L 21/321 - Post-traitement

36.

PLASTIC RECYCLING PROCESS

      
Numéro d'application CA2023050748
Numéro de publication 2024/007069
Statut Délivré - en vigueur
Date de dépôt 2023-05-31
Date de publication 2024-01-11
Propriétaire
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • SHENGSHI ECOTECHNOLOGY CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Fan, Chih-Hao
  • Lin, Jhen-Nan
  • Huang, Ya-Zhen

Abrégé

The present invention provides a plastic recycling process, which firstly adopts a solvent along with a heating step to dissolve a recycle target, and then adopts a negative pressure environment to separate the solvent and the recycle target. The plastic recycling process of the present invention has a high plastic recovery rate, and the solvent is reusable and can be recycled, which not only reduces costs, but also avoids potential environmental problems.

Classes IPC  ?

  • B29B 17/02 - Séparation de matières plastiques des autres matières

37.

SYSTEM AND METHOD FOR CARDIOVASCULAR RISK PREDICTION AND COMPUTER READABLE MEDIUM THEREOF

      
Numéro d'application 17804839
Statut En instance
Date de dépôt 2022-05-31
Date de la première publication 2024-01-04
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Tzung-Dau
  • Lee, Wen-Jeng
  • Huang, Yu-Cheng
  • Tseng, Chiu-Wang
  • Lee, Cheng-Kuang
  • Wang, Wei-Chung
  • Chou, Cheng-Ying

Abrégé

Provided are a system and a method for cardiovascular risk prediction, where artificial intelligence is utilized to perform segmentation on non-contrast or contrast medical images to identify precise regions of the heart, pericardium, and aorta of a subject, such that the adipose tissue volume and calcium score can be derived from the medical images to assist in cardiovascular risk prediction. Also provided is a computer readable medium for storing a computer executable code to implement the method.

Classes IPC  ?

38.

INTEGRATED CIRCUIT DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17848806
Statut En instance
Date de dépôt 2022-06-24
Date de la première publication 2023-12-28
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • National Taiwan Normal University (Taïwan, Province de Chine)
Inventeur(s)
  • Hsiang, Kuo-Yu
  • Liao, Chun-Yu
  • Liu, Jen-Ho
  • Lee, Min-Hung

Abrégé

An integrated circuit device includes a substrate and a memory device. The memory device is over the substrate. The memory device includes a bottom electrode, a dielectric layer, an antiferroelectric layer, and a top electrode. The dielectric layer is over the bottom electrode. The antiferroelectric layer is over the dielectric layer. The top electrode is over the antiferroelectric layer.

Classes IPC  ?

  • H01L 27/11507 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec condensateurs ferro-électriques de mémoire caractérisées par la région noyau de mémoire

39.

METHOD FOR PREVENTING OR TREATING UROLOGICAL CHRONIC PELVIC PAIN SYNDROME

      
Numéro d'application 17835634
Statut En instance
Date de dépôt 2022-06-08
Date de la première publication 2023-12-14
Propriétaire
  • National Health Research Institutes (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
  • National Chung Hsing University (Taïwan, Province de Chine)
  • National Cheng Kung University (Taïwan, Province de Chine)
Inventeur(s)
  • Lien, Wei-Chih
  • Lin, Feng-Huei
  • Wang, Hui-Min
  • Ching, Tak Shing
  • Zhou, Xin-Ran
  • Liang, Ya-Jyun
  • Wang, Chia-Yih
  • Lu, Fu-I
  • Chang, Huei-Cih

Abrégé

Provided is a method for preventing or treating urological chronic pelvic pain syndrome (UCPPS) in a subject that includes administering an effective amount of cerium oxide nanoparticles (CeNPs) to the subject. Also provided is a method for preventing or treating an UCPPS in a subject that includes administering to the subject a pharmaceutical composition comprising an effective amount of the CeNPs and a pharmaceutically acceptable carrier thereof.

Classes IPC  ?

  • A61K 33/24 - Métaux lourds; Leurs composés
  • A61P 37/06 - Immunosuppresseurs, p.ex. médicaments pour le traitement du rejet de greffe
  • A61K 9/51 - Nanocapsules

40.

HOT CARRIER SOLAR CELL AND TANDEM SOLAR CELL

      
Numéro d'application 17961465
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2023-12-14
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Ching-Fuh
  • Chang, Chung-Han

Abrégé

A hot carrier solar cell capable of absorbing sunlight with wavelengths greater than 1100 nm includes a light-absorbing layer in contact with a semiconductor layer, and a first and a second electrode in contact with the light-absorbing layer and the semiconductor layer, respectively. The hot carrier solar cell can be produced in a lower cost using a simple process. In addition, a tandem solar cell having the above-mentioned hot carrier solar cell is also disclosed to improve the efficiency of the tandem solar cell.

Classes IPC  ?

  • H01L 31/055 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails adaptés comme dispositifs de conversion photovoltaïque [PV] Éléments optiques directement associés ou intégrés à la cellule PV, p.ex. moyens réflecteurs ou concentrateurs de lumière où la lumière est absorbée et réémise avec une longueur d’onde différente par l’élément optique directement associé ou intégré à la cellule PV, p.ex. en utilisant un matériau luminescent, des concentrateurs fluorescents ou des dispositions de convers
  • H01L 31/0224 - Electrodes

41.

BOARD STRUCTURE HAVING ARTICULATED JOINTS AND SPLICE OBJECT HAVING THE SAME

      
Numéro d'application 18209023
Statut En instance
Date de dépôt 2023-06-13
Date de la première publication 2023-12-14
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Fang, Chiao
  • Chan, Vivian Hsin-Yueh
  • Cheng, Lung-Pan

Abrégé

A board structure having articulated joints and a splice object having articulated joints are provided. The board structure includes a first board and a second board. The first board has a first holding slot and a first articulated arm, and the second board has a second holding slot and a second articulated arm. The first articulated arm is L-shaped or T-shaped and is accommodated in the second holding slot. The second articulated arm is L-shaped or T-shaped and is accommodated in the first holding slot. An articulated seam is formed between the first board and the second board, and the first board and the second board are positioned at various angles relative to each other along the articulated seam. The splice object includes multiple ones of the first boards and second boards.

Classes IPC  ?

  • F16C 11/04 - Articulations
  • F16M 11/38 - Infrastructure avec ou sans roues à hauteur ou longueur modifiables des pieds, avec utilisation possible pour le transport également par pliage

42.

ADJUSTABLE VOLTAGE REGULATOR CIRCUITRY

      
Numéro d'application 17838289
Statut En instance
Date de dépôt 2022-06-13
Date de la première publication 2023-12-14
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Wu, Bing-Chen
  • Liu, Tsung-Te

Abrégé

An adjustable voltage regulator circuit, including a voltage conversion circuit, a voltage conversion controller, and a clock generator, is provided. The voltage conversion circuit receives an input voltage to generate an output voltage. The voltage conversion controller detects the output voltage, compares the output voltage with a reference voltage value, and outputs an enable signal based on a comparison result to control the voltage conversion circuit to adjust the output voltage. The clock generator generates a first clock signal and a second clock signal to respectively drive the voltage conversion circuit and the voltage conversion controller. The voltage conversion controller adjusts the enable signal to gradually adjust the output voltage to a predetermined voltage range.

Classes IPC  ?

  • H02M 3/158 - Transformation d'une puissance d'entrée en courant continu en une puissance de sortie en courant continu sans transformation intermédiaire en courant alternatif par convertisseurs statiques utilisant des tubes à décharge avec électrode de commande ou des dispositifs à semi-conducteurs avec électrode de commande utilisant des dispositifs du type triode ou transistor exigeant l'application continue d'un signal de commande utilisant uniquement des dispositifs à semi-conducteurs avec commande automatique de la tension ou du courant de sortie, p.ex. régulateurs à commutation comprenant plusieurs dispositifs à semi-conducteurs comme dispositifs de commande finale pour une charge unique
  • H02M 3/07 - Transformation d'une puissance d'entrée en courant continu en une puissance de sortie en courant continu sans transformation intermédiaire en courant alternatif par convertisseurs statiques utilisant des résistances ou des capacités, p.ex. diviseur de tension utilisant des capacités chargées et déchargées alternativement par des dispositifs à semi-conducteurs avec électrode de commande
  • H02M 1/00 - APPAREILS POUR LA TRANSFORMATION DE COURANT ALTERNATIF EN COURANT ALTERNATIF, DE COURANT ALTERNATIF EN COURANT CONTINU OU VICE VERSA OU DE COURANT CONTINU EN COURANT CONTINU ET EMPLOYÉS AVEC LES RÉSEAUX DE DISTRIBUTION D'ÉNERGIE OU DES SYSTÈMES D'ALI; TRANSFORMATION D'UNE PUISSANCE D'ENTRÉE EN COURANT CONTINU OU COURANT ALTERNATIF EN UNE PUISSANCE DE SORTIE DE CHOC; LEUR COMMANDE OU RÉGULATION - Détails d'appareils pour transformation

43.

SEMICONDUCTOR DEVICE WITH OXIDE-BASED SEMICONDUCTOR CHANNEL

      
Numéro d'application 17829773
Statut En instance
Date de dépôt 2022-06-01
Date de la première publication 2023-12-07
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chiu, Jih-Chao
  • Li, Song-Ling
  • Liu, Chee-Wee

Abrégé

A method includes forming a fin over a substrate, the fin comprising alternately stacking first oxide-based semiconductor layers and second oxide-based semiconductor layers, removing the second oxide-based semiconductor layers to form a plurality of spaces each between corresponding ones of the first oxide-based semiconductor layers, and depositing in sequence a gate dielectric layer and a gate metal into the plurality of spaces each between corresponding ones of the second oxide-based semiconductor layers.

Classes IPC  ?

  • H01L 29/786 - Transistors à couche mince
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/465 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 29/66 - Types de dispositifs semi-conducteurs

44.

SEMICONDUCTOR DEVICE AND FORMATION METHOD THEREOF

      
Numéro d'application 17834596
Statut En instance
Date de dépôt 2022-06-07
Date de la première publication 2023-12-07
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chung, Chia-Che
  • Tsen, Chia-Jung
  • Liu, Chee-Wee

Abrégé

A method of forming a semiconductor device includes forming a semiconductor strip extending above a semiconductor substrate, forming shallow trench isolation (STI) regions on opposite sides of the semiconductor strip, recessing a portion of the semiconductor strip, etching the STI regions to form a recess in the STI regions, forming a first thermal conductive layer in the recess, forming a source/drain epitaxy structure on the first thermal conductive layer, and forming a gate stack across the semiconductor strip and extending over the STI regions.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/47 - Couches organiques, p.ex. couche photosensible

45.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17830266
Statut En instance
Date de dépôt 2022-06-01
Date de la première publication 2023-12-07
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tu, Chien-Te
  • Liu, Chee-Wee

Abrégé

A method includes forming a semiconductor structure on a substrate; performing a first etching process on the semiconductor structure to form a fin structure upwardly extending above the substrate; performing a second etching process to trim the fin structure to have a reverse-trapezoidal cross-sectional profile; forming source/drain regions on opposite regions of the fin structure; forming a gate structure between the source/drain regions.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/786 - Transistors à couche mince
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

46.

MEMORY DEVICE AND FORMATION METHOD THEREOF

      
Numéro d'application 17831187
Statut En instance
Date de dépôt 2022-06-02
Date de la première publication 2023-12-07
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tsou, Ya-Jui
  • Chiu, Jih-Chao
  • Shih, Huan-Chi
  • Liu, Chee-Wee
  • Lin, Shao-Yu
  • Wang, Chih-Lin

Abrégé

A method of forming a memory device including forming a bottom electrode via (BEVA) in a dielectric layer, forming a magnetic tunnel junction (MTJ) multilayer structure over the BEVA, forming a top electrode on the MTJ multilayer structure, patterning the MTJ multilayer structure using the top electrode as an etch mask to form a MTJ stack, forming a first interlayer dielectric (ILD) layer over the MTJ stack, and after forming the first ILD layer, forming a ferromagnetic metal that exerts a magnetic field on the MTJ stack.

Classes IPC  ?

  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/08 - Résistances commandées par un champ magnétique
  • H01L 43/10 - Emploi de matériaux spécifiés
  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin

47.

METHOD FOR TREATING AND/OR PREVENTING ATOPY AND ALLERGIC DISEASES BY USING BACTERIA OR COMPOSITIONS HAVING 7 ALPHA-DEHYDROXYLASE ACTIVITY, AND/OR BILE ACID RECEPTORS FXR AND/OR TGR5 AGONISTS

      
Numéro d'application 18135394
Statut En instance
Date de dépôt 2023-04-17
Date de la première publication 2023-11-30
Propriétaire
  • NATIONAL TAIWAN UNIVERSITY HOSPITAL (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s) Huang, Miao-Tzu

Abrégé

The present disclosure provides a method for treating and/or preventing atopy and allergic diseases by using bacteria or compositions having 7 alpha-dehydroxylase activity, and the bile acid receptors FxR and/or TGR5 agonists. Bacteria or compositions having 7 alpha-dehydroxylase activity, and the bile acid receptors FxR and/or TGR5 agonists of the present disclosure can induce immune-regulatory leukocytes, and alleviate the severity of allergic airway diseases.

Classes IPC  ?

  • A61K 35/742 - Bactéries sporulées, p.ex. Bacillus coagulans, Bacillus subtilis, clostridium ou Lactobacillus sporogenes
  • A61K 38/17 - Peptides ayant plus de 20 amino-acides; Gastrines; Somatostatines; Mélanotropines; Leurs dérivés provenant d'humains
  • A61P 37/08 - Agents antiallergiques
  • A61P 11/00 - Médicaments pour le traitement des troubles du système respiratoire

48.

GATED METAL-INSULATOR-SEMICONDUCTOR (MIS) TUNNEL DIODE HAVING NEGATIVE TRANSCONDUCTANCE

      
Numéro d'application 18361758
Statut En instance
Date de dépôt 2023-07-28
Date de la première publication 2023-11-30
Propriétaire
  • Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Liao, Chien-Shun

Abrégé

Gated MIS tunnel diode devices having a controllable negative transconductance behavior are provided. In some embodiments, a device includes a substrate, a tunnel diode dielectric layer on a surface of the substrate, and a gate dielectric layer on the surface of the substrate and adjacent to the tunnel diode dielectric layer. A tunnel diode electrode is disposed on the tunnel diode dielectric layer, and a gate electrode is disposed on the gate dielectric layer. A substrate electrode is disposed on the surface of the substrate, and the tunnel diode electrode is positioned between the gate electrode and the substrate electrode.

Classes IPC  ?

  • H01L 29/739 - Dispositifs du type transistor, c.à d. susceptibles de répondre en continu aux signaux de commande appliqués commandés par effet de champ
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 29/45 - Electrodes à contact ohmique
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter

49.

GPU-accelerated data processing method for rapid noise-suppressed contrast enhancement

      
Numéro d'application 17827816
Statut En instance
Date de dépôt 2022-05-30
Date de la première publication 2023-11-30
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chi-Kuang
  • Borah, Bhaskar Jyoti

Abrégé

The present disclosure relates to a data processing method, and more specifically, to a digital image processing method to enable a rapid noise-suppressed contrast enhancement in an optical linear or nonlinear microscopy imaging application. The disclosed method digitally mimics a hardware-based feedback-driven adaptive or controlled illumination technique by means of digitally resembling selective laser-on and laser-off states so as to selectively optimize the signal strength and hence the visibility of the weak-intensity morphologies while mostly preventing saturation of the brightest structures.

Classes IPC  ?

  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 5/20 - Amélioration ou restauration d'image en utilisant des opérateurs locaux

50.

GATE-ALL-AROUND DEVICE

      
Numéro d'application 18362778
Statut En instance
Date de dépôt 2023-07-31
Date de la première publication 2023-11-23
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Chung-En
  • Chung, Chia-Che
  • Liu, Chee-Wee
  • Lu, Fang-Liang
  • Huang, Yu-Shiang
  • Yeh, Hung-Yu
  • Tu, Chien-Te
  • Liu, Yi-Chun

Abrégé

A device comprise a first semiconductor channel layer over a substrate, a second semiconductor channel layer over the first semiconductor channel layer, and source/drain epitaxial structures on opposite sides of the first semiconductor channel layer and opposite sides of the second semiconductor channel layer. A compressive strain in the second semiconductor channel layer is greater than a compressive strain in the first semiconductor channel layer. The source/drain epitaxial structures each comprise a first region interfacing the first semiconductor channel layer and a second region interfacing the second semiconductor channel layer, and the first region has a composition different from a composition of the second region.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/786 - Transistors à couche mince

51.

SEAT APPARATUS HAVING SIMULATED FORCE FEEDBACK AND METHOD FOR SIMULATING FORCE SENSATION OF DRIVING

      
Numéro d'application 18314148
Statut En instance
Date de dépôt 2023-05-09
Date de la première publication 2023-11-23
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Shiang-Fong
  • Lin, Bo-Ting
  • Pan, Chi
  • Yu, Tzu-Yuan

Abrégé

A seat apparatus having a simulated force feedback and a method for simulating a force sensation of driving are provided. The seat apparatus includes a seating unit, a rotary platform, and a realistic seat pallet. The seating unit includes a seat pan. The rotary platform includes a chassis and a rotary motive module. The seat pan is disposed on the chassis along a rotation axis in an inclinable manner. The rotary motive module can control the seat pan to have a forward or rearward inclined angle. The realistic seat pallet is disposed on the seat pan, and includes a movable contact cushion and a pallet motive module. Through the pallet motive module, the movable contact cushion is slidable relative to the seat pan. The pallet motive module can control the movable contact cushion to have left and right displacements, front and rear displacements, angular displacements, or yaw rotations.

Classes IPC  ?

  • A47C 7/14 - Parties du siège à montage élastique
  • A47C 7/56 - Eléments ou parties constitutives de sièges se rabattant, p.ex. de fauteuils de théâtre
  • A47C 1/023 - Chaises ou fauteuils de relaxation ou liseuses ayant des éléments de soutien à réglage indépendant les éléments étant des sièges réglables dans le sens horizontal
  • G09B 9/04 - Simulateurs pour l'enseignement ou l'entraînement pour l'enseignement de la conduite des véhicules ou autres moyens de transport pour l'enseignement de la conduite des véhicules terrestres
  • A63G 31/16 - Installations pour divertissements publics créant l'illusion d'un voyage
  • A63F 13/803 - Conduite de véhicules ou de moyens de transport, p.ex. voitures, avions, bateaux, robots ou tanks

52.

SEMICONDUCTOR DEVICE HAVING DOPED WORK FUNCTION METAL LAYER

      
Numéro d'application 18360416
Statut En instance
Date de dépôt 2023-07-27
Date de la première publication 2023-11-16
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Huang, Chih-Hsiung
  • Tsai, Chung-En
  • Liu, Chee-Wee
  • Kuok, Kun-Wa
  • Hsiao, Yi-Hsiu

Abrégé

A semiconductor device includes a substrate, a gate stack, and epitaxy structures. The substrate has a P-type region. The gate stack is over the P-type region of the substrate and includes a gate dielectric layer, a bottom work function (WF) metal layer, a top WF metal layer, and a filling metal. The bottom WF metal layer is over the gate dielectric layer. The top WF metal layer is over and in contact with the bottom WF metal layer. Dipoles are formed between the top WF metal layer and the bottom WF metal layer, and the dipoles direct from the bottom WF metal layer to the top WF metal layer. The filling metal is over the top WF metal layer. The epitaxy structures are over the P-type region of the substrate and on opposite sides of the gate stack.

Classes IPC  ?

  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 29/40 - Electrodes
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

53.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18226166
Statut En instance
Date de dépôt 2023-07-25
Date de la première publication 2023-11-16
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lu, Fang-Liang
  • Wong, I-Hsieh
  • Lin, Shih-Ya
  • Liu, Cheewee
  • Pan, Samuel C.

Abrégé

A semiconductor device includes a first layer that includes a first semiconductor material disposed on a semiconductor substrate, and a second layer of a second semiconductor material disposed on the first layer. The semiconductor substrate includes Si. The first semiconductor material and the second semiconductor material are different. The second semiconductor material is formed of an alloy including a first element and Sn. A surface region of an end portion of the second layer at both ends of the second layer has a higher concentration of Sn than an internal region of the end portion of the second layer. The surface region surrounds the internal region.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 21/268 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée les radiations étant électromagnétiques, p.ex. des rayons laser
  • H01L 29/165 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en dans différentes régions semi-conductrices
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/161 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

54.

NANOWIRE STACK GAA DEVICE WITH SELECTABLE NUMBERS OF CHANNEL STRIPS

      
Numéro d'application 18359745
Statut En instance
Date de dépôt 2023-07-26
Date de la première publication 2023-11-16
Propriétaire
  • Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Tsou, Ya-Jui
  • Luo, Zong-You
  • Huang, Wen Hung
  • Yan, Jhih-Yang
  • Liu, Chee-Wee

Abrégé

The current disclosure describes techniques for individually selecting the number of channel strips for a device. The channel strips are selected by defining a three-dimensional active region that include a surface active area and a depth/height. Semiconductor strips in the active region are selected as channel strips. Semiconductor strips contained in the active region will be configured to be channel strips. Semiconductor strips not included in the active region are not selected as channel strips and are separated from source/drain structures by an auxiliary buffer layer.

Classes IPC  ?

  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

55.

FERROELECTRIC MFM INDUCTOR AND RELATED CIRCUITS

      
Numéro d'application 18343687
Statut En instance
Date de dépôt 2023-06-28
Date de la première publication 2023-11-09
Propriétaire
  • Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Miin-Jang
  • Cheng, Po-Hsien
  • Yin, Yu-Tung

Abrégé

Techniques in accordance with embodiments described herein are directed to a MFM structure that includes a resistance component, an inductance component and a capacitance component. The MFM device is equivalent to a series LC circuit with the resistance component coupled in parallel with the capacitance component. The MFM structure is used as a series LC resonant circuit, band-pass circuit, band-stop circuit, low-pass filter, high-pass filter, oscillators, or negative capacitors.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H03H 7/06 - Réseaux à deux accès sélecteurs de fréquence comprenant des résistances
  • H03H 7/01 - Réseaux à deux accès sélecteurs de fréquence
  • H01L 29/66 - Types de dispositifs semi-conducteurs

56.

MAGNETORESISTIVE MEMORY DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18352872
Statut En instance
Date de dépôt 2023-07-14
Date de la première publication 2023-11-09
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Luo, Zong-You
  • Tsou, Ya-Jui
  • Liu, Chee-Wee
  • Lin, Shao-Yu
  • Chung, Liang-Chor
  • Wang, Chih-Lin

Abrégé

A method includes forming bottom conductive lines over a wafer. A first magnetic tunnel junction (MTJ) stack is formed over the bottom conductive lines. Middle conductive lines are formed over the first MTJ stack. A second MTJ stack is formed over the middle conductive lines. Top conductive lines are formed over the second MTJ stack.

Classes IPC  ?

  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/10 - Dispositifs magnéto-résistifs
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10N 50/85 - Matériaux actifs magnétiques

57.

METHOD FOR MANUFACTURING MEMORY DEVICE

      
Numéro d'application 18353569
Statut En instance
Date de dépôt 2023-07-17
Date de la première publication 2023-11-09
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tsou, Ya-Jui
  • Luo, Zong-You
  • Liu, Chee-Wee
  • Lin, Shao-Yu
  • Chung, Liang-Chor
  • Wang, Chih-Lin

Abrégé

A method includes forming a memory stack over a substrate. A dielectric layer is deposited to cover the memory stack. An opening is formed in the dielectric layer. The opening does not expose the memory stack. A spin-orbit-torque (SOT) layer is formed in the opening. A free layer is formed over the dielectric layer to interconnect the memory stack and the SOT layer.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

58.

MEMORY DEVICE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 17739871
Statut En instance
Date de dépôt 2022-05-09
Date de la première publication 2023-11-09
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • National Taiwan Normal University (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Kuan-Ting
  • Liao, Chun-Yu
  • Hsiang, Kuo-Yu
  • Chung, Yun-Fang
  • Lee, Min-Hung
  • Chang, Shu-Tong

Abrégé

A method includes forming a semiconductor layer over a substrate; depositing a first ferroelectric layer over a channel region of the semiconductor layer; depositing a first dielectric layer over the first ferroelectric layer; depositing a second ferroelectric layer over the first dielectric layer; depositing a gate metal layer over the second ferroelectric layer; patterning the gate metal layer, the second ferroelectric layer, the first dielectric layer, and the first ferroelectric layer to form a gate structure; and forming source/drain regions in the semiconductor layer and on opposite sides of the gate structure.

Classes IPC  ?

  • H01L 27/11597 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs les électrodes de grille comprenant une couche utilisée pour ses propriétés de mémoire ferro-électrique, p.ex. semi-conducteur métal-ferro-électrique [MFS] ou semi-conducteur d’isolation métal-ferro-électrique-métal [MFMIS] caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/786 - Transistors à couche mince
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

59.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17727737
Statut En instance
Date de dépôt 2022-04-23
Date de la première publication 2023-10-26
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Chen, Jen-Hao
  • Chen, Kung-Chu

Abrégé

A semiconductor device includes a substrate, a sensing device, and a transistor. The sensing device includes a dielectric layer, a sensing pad, a first sensing electrode, and a second sensing electrode. The dielectric layer is over the substrate. The sensing pad is over and in contact with the dielectric layer. The first sensing electrode and the second sensing electrode are over and in contact with the dielectric layer. The first sensing electrode and the second sensing electrode surround the sensing pad, and a distance between the first sensing electrode and the second sensing electrode is greater than a distance between the sensing pad and the first sensing electrode. The transistor is over the substrate. A gate of the transistor is connected to the sensing pad.

Classes IPC  ?

  • H01L 29/68 - Types de dispositifs semi-conducteurs commandables par le seul courant électrique fourni ou par la seule tension appliquée, à une électrode qui ne transporte pas le courant à redresser, amplifier ou commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs

60.

WRITE ASSIST CIRCUIT FOR MEMORY DEVICE

      
Numéro d'application 17720154
Statut En instance
Date de dépôt 2022-04-13
Date de la première publication 2023-10-19
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chung, Chia-Che
  • Lin, Hsin-Cheng
  • Liu, Chee-Wee

Abrégé

A device is provided. The device includes a memory cell and a first write assist circuit. The memory cell operates with a first supply voltage and a second supply voltage different from the first supply voltage. The first write assist circuit includes a first write assist switch and a second write assist switch that are coupled to the memory cell through a first data line. In a write operation of a data, having a first logic value, to the memory cell, the first write assist switch transmits the first supply voltage to the first data line in response to a first control signal, received at a control terminal of the first write assist switch and having a voltage level of the second supply voltage, when the second write assist switch is configured to be turned off.

Classes IPC  ?

61.

ANTIMICROBIAL COMPOSITIONS, PHARMACEUTICAL COMPOSITIONS AND USE THEREOF

      
Numéro d'application 18297439
Statut En instance
Date de dépôt 2023-04-07
Date de la première publication 2023-10-19
Propriétaire
  • National Taiwan University (Taïwan, Province de Chine)
  • National Yang Ming Chiao Tung University (Taïwan, Province de Chine)
Inventeur(s)
  • Chiu, Hao-Chieh
  • Shiau, Chung-Wai

Abrégé

Disclosed herein are an antimicrobial composition and related methods. With the synergistic effect of amide compounds and fatty acids in the composition, not only the antibacterial effect against drug-resistant bacteria can be achieved with a smaller amount of amide compounds, but the persister cells and biofilms of microorganisms can be effectively and quickly eradicated.

Classes IPC  ?

  • A61K 31/36 - Composés contenant des groupes méthylènedioxyphényle, p.ex. sésamine
  • A61K 31/17 - Amides, p.ex. acides hydroxamiques ayant le groupe N-C(O)-N ou N-C(S)-N, p.ex. urée, thiourée, carmustine
  • A61K 31/4412 - Pyridines non condensées; Leurs dérivés hydrogénés ayant des groupes oxo liés directement à l'hétérocycle
  • A61K 31/201 - Acides carboxyliques, p.ex. acide valproïque ayant un groupe carboxyle lié à une chaîne acyclique d'au moins sept atomes de carbone, p.ex. acides stéarique, palmitique ou arachidique ayant une ou deux doubles liaisons, p.ex. acides oléique ou linoléique
  • A61K 31/202 - Acides carboxyliques, p.ex. acide valproïque ayant un groupe carboxyle lié à une chaîne acyclique d'au moins sept atomes de carbone, p.ex. acides stéarique, palmitique ou arachidique ayant au moins trois doubles liaisons, p.ex. acide linolénique
  • A61P 31/04 - Agents antibactériens

62.

CONJUGATE FOR TARGETING THERAPY

      
Numéro d'application MY2022050020
Numéro de publication 2023/195839
Statut Délivré - en vigueur
Date de dépôt 2022-04-05
Date de publication 2023-10-12
Propriétaire
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • CHAW, Chee Wai (Malaisie)
Inventeur(s)
  • Liang, Pi-Hui
  • Chang, Chun-Kai
  • Chiu, Pei-Fang

Abrégé

The present disclosure provides a novel conjugate or a pharmaceutically acceptable salt thereof, wherein the cojugate has an active pharmaceutical moiety or a prodrug thereof, a targeting module and a linker therebetween. The conjugate or a pharmaceutically acceptable salt thereof is useful for treating a disease, recurrence or progression in a subject or increasing the likelihood of survival over a relevant period in a subject diagnosed with a disease.

Classes IPC  ?

  • A61K 47/54 - Préparations médicinales caractérisées par les ingrédients non actifs utilisés, p.ex. les supports ou les additifs inertes; Agents de ciblage ou de modification chimiquement liés à l’ingrédient actif l’ingrédient non actif étant chimiquement lié à l’ingrédient actif, p.ex. conjugués polymère-médicament l’ingrédient non actif étant un agent de modification l’agent de modification étant un composé organique
  • A61K 31/513 - Pyrimidines; Pyrimidines hydrogénées, p.ex. triméthoprime ayant des groupes oxo liés directement à l'hétérocycle, p.ex. cytosine
  • A61K 31/4745 - Quinoléines; Isoquinoléines condensées en ortho ou en péri avec des systèmes hétérocycliques condensées avec des systèmes cycliques ayant l'azote comme hétéro-atome d'un cycle, p.ex. phénanthrolines
  • A61P 35/00 - Agents anticancéreux

63.

INTEGRATED NUCLEIC ACID LOOP-MEDIATED ISOTHERMAL AMPLIFICATION AND MOBILE DEVICE SYSTEM

      
Numéro d'application 18046575
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2023-10-05
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Chien-Fu
  • Wu, Shou-Cheng
  • Chen, Shi-Jia
  • Chien, Yuh-Shiuan
  • Sheng, Wang-Huei

Abrégé

An integrated nucleic acid loop-mediated isothermal amplification and mobile device system is provided and includes a main body and a power supply, where the 5 main body at least has a delivery unit, a heating unit and a control unit, the control unit is electrically connected to the delivery unit and the heating unit, and the power supply is electrically connected to the main body. A method for operating the integrated nucleic acid loop-mediated isothermal amplification and mobile device system is also provided.

Classes IPC  ?

  • C12Q 1/6844 - Réactions d’amplification d’acides nucléiques
  • B01L 7/00 - Appareils de chauffage ou de refroidissement; Dispositifs d'isolation thermique

64.

ORGANIC ELECTROLUMINESCENT DEVICES WITH IMPROVED OPTICAL OUT-COUPLING EFFICIENCIES

      
Numéro d'application 18021856
Statut En instance
Date de dépôt 2020-09-21
Date de la première publication 2023-10-05
Propriétaire
  • Applied Materials, Inc. (USA)
  • National Taiwan Universtiy (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Chung-Chia
  • Lin, Wan-Yu
  • Bang, Hyunsung
  • Xu, Lisong
  • Yu, Gang
  • Kwak, Byung-Sung
  • Visser, Robert Jan
  • Wu, Chung-Chih
  • Lin, Hoang Yan
  • Su, Guo-Dong
  • Lee, Wei-Kai
  • Chen, Yi-Jiun
  • Hsu, Ting-Sheng
  • Liao, Po-Hsiang
  • Lin, Wei-Cheng

Abrégé

Embodiments of the present disclosure generally relate to electroluminescent devices, such as organic light-emitting diodes, and displays including electroluminescent devices. In an embodiment is provided an electroluminescent device that includes a pixel defining layer, an organic emitting unit disposed over at least a portion of the pixel defining layer, and a filler layer disposed over at least a portion of the organic emitting unit, wherein a refractive index of the pixel defining layer is lower than a refractive index of the filler layer, and wherein the refractive index of the pixel defining layer is lower than a refractive index of one or more layers of the organic emitting unit. In another embodiment is provided a display device that includes a substrate, a thin film transistor formed on the substrate, an interconnection electrically coupled to the thin film transistor, and an electroluminescent device electrically coupled to the interconnection.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure
  • H10K 59/131 - Interconnexions, p. ex. lignes de câblage ou bornes

65.

METHOD OF PREPARING TRITERPENOID COMPOUND

      
Numéro d'application 18194171
Statut En instance
Date de dépôt 2023-03-31
Date de la première publication 2023-10-05
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s) Liang, Pi-Hui

Abrégé

A method of preparing a triterpenoid compound of formula (I): A method of preparing a triterpenoid compound of formula (I): A method of preparing a triterpenoid compound of formula (I): including a step of converting a compound of formula (II) into the compound of formula (I), A method of preparing a triterpenoid compound of formula (I): including a step of converting a compound of formula (II) into the compound of formula (I), A method of preparing a triterpenoid compound of formula (I): including a step of converting a compound of formula (II) into the compound of formula (I), wherein R1 and R2 independently represent hydrogen or a protecting group selected from the group consisting of C1-C8 alkyl, allyl, C2-C8 alkenyl, C2-C8 alkynyl, (C6-C12)aryl(C1-C8)alkyl, tri(C1-C8)alkylsilyl, di(C1-C8)alkyl(C6-C12)arylsilyl, di(C6-C12)aryl(C1-C8)alkylsilyl ,tri(C6-C12)arylsilyl, —C(O)R7, and —C(O)OR8, and each of which is substituted with from 0 to 4 substituents independently selected from the group consisting of hydroxy, cyano, halo, halo(C1-C6)alkyl, halo(C1-C6)alkyloxy, (C1-C6)alkylthio, C1-C6 alkyl, C2-C6 alkenyl, C2-C6 alkynyl, C3-C7 cycloalkyl and C1-C6 alkoxy, wherein R7 and R8 are independently C1-C8 alkyl or C6-C12 aryl.

Classes IPC  ?

  • C07C 51/16 - Préparation d'acides carboxyliques, de leurs sels, halogénures ou anhydrides par oxydation

66.

CONJUGATE FOR TARGETING THERAPY

      
Numéro d'application 17658082
Statut En instance
Date de dépôt 2022-04-05
Date de la première publication 2023-10-05
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Liang, Pi-Hui
  • Chang, Chun-Kai
  • Chiu, Pei-Fang

Abrégé

The present disclosure provides a novel conjugate or a pharmaceutically acceptable salt thereof, wherein the conjugate has an active pharmaceutical moiety or a prodrug thereof, a targeting module and a linker therebetween. The conjugate or a pharmaceutically acceptable salt thereof is useful for treating a disease, recurrence or progression in a subject or increasing the likelihood of survival over a relevant period in a subject diagnosed with a disease.

Classes IPC  ?

  • A61K 47/54 - Préparations médicinales caractérisées par les ingrédients non actifs utilisés, p.ex. les supports ou les additifs inertes; Agents de ciblage ou de modification chimiquement liés à l’ingrédient actif l’ingrédient non actif étant chimiquement lié à l’ingrédient actif, p.ex. conjugués polymère-médicament l’ingrédient non actif étant un agent de modification l’agent de modification étant un composé organique
  • A61P 35/00 - Agents anticancéreux

67.

SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC FORMED USING SELECTIVE DEPOSITION

      
Numéro d'application 18324636
Statut En instance
Date de dépôt 2023-05-26
Date de la première publication 2023-10-05
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • NATIONAL TAIWAN NORMAL UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Tung-Ying
  • Chen, Tse-An
  • Wang, Tzu-Chung
  • Chen, Miin-Jang
  • Yin, Yu-Tung
  • Yang, Meng-Chien

Abrégé

A semiconductor device includes a plurality of semiconductor layers arranged one above another, and source/drain epitaxial regions on opposite sides of the plurality of semiconductor layers. The semiconductor device further includes a gate structure surrounding each of the plurality of semiconductor layers. The gate structure includes interfacial layers respectively over the plurality of semiconductor layers, a high-k dielectric layer over the interfacial layers, and a gate metal over the high-k dielectric layer. The gate structure further includes gate spacers spacing apart the gate structure from the source/drain epitaxial regions. A top position of the high-k dielectric layer is lower than top positions of the gate spacers.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/786 - Transistors à couche mince
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

68.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 17698696
Statut En instance
Date de dépôt 2022-03-18
Date de la première publication 2023-10-05
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Jhan, Shun-Siang
  • Chou, Ang-Sheng
  • Ni, I-Chih
  • Wu, Chih-I

Abrégé

A method includes forming a 2-D semiconductor material layer over a substrate; forming source/drain contacts over source/drain regions of the 2-D semiconductor material layer; and forming a gate structure over a channel region of the 2-D semiconductor material layer. Forming the source/drain contacts includes performing a first deposition process to deposit a first metal layer over the 2-D semiconductor material layer; and after the first deposition process is completed, performing a second deposition process to deposit a second metal layer over the first metal layer, in which the second metal layer has a higher melting point than the first metal layer.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/786 - Transistors à couche mince
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 21/8234 - Technologie MIS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

69.

PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17710815
Statut En instance
Date de dépôt 2022-03-31
Date de la première publication 2023-10-05
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Tzu-Hsuan
  • Chen, Chien-Liang
  • Lin, Rong-Teng

Abrégé

A method for fabricating a package structure is provided. The method includes premixing cellulose nanofibrils (CNFs) and a two-dimensional (2D) material in a solvent to form a solution; removing the solvent from the solution to form a composite filler; mixing a prepolymeric material with the composite filler to form a composite material; and performing a molding process using the composite material.

Classes IPC  ?

  • H01L 23/29 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par le matériau
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/433 - Pièces auxiliaires caractérisées par leur forme, p.ex. pistons
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements

70.

A METHOD OF PREPARING TRITERPENOID COMPOUND

      
Numéro d'application US2023017062
Numéro de publication 2023/192574
Statut Délivré - en vigueur
Date de dépôt 2023-03-31
Date de publication 2023-10-05
Propriétaire
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • WO, Andrew Man-Chung (Taïwan, Province de Chine)
Inventeur(s) Liang, Pi-Hui

Abrégé

1218 28 28 612181818612612186127816161616 26 26 37 16 7818 612 12 aryl.

Classes IPC  ?

  • C07J 63/00 - Stéroïdes ayant le squelette du cyclopenta[a]hydrophénanthrène modifié par expansion d'un seul cycle par un ou deux atomes
  • C07J 75/00 - Procédés de préparation de stéroïdes, en général

71.

METHOD OF MANUFACTURING SILICON NANO-POWDERS AND MANUFACTURING EQUIPMENT IMPLEMENTING SUCH METHOD

      
Numéro d'application 18181997
Statut En instance
Date de dépôt 2023-03-10
Date de la première publication 2023-09-28
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s) Lan, Chung-Wen

Abrégé

A method of manufacturing silicon nano-powders and a manufacturing equipment implementing such method. The method according to the invention utilizes a plurality of aluminum powders to react with a silicon tetrahalide into a plurality of silicon nano-powders and an aluminum trihalide to obtain the silicon nano-powders.

Classes IPC  ?

  • C01B 21/068 - Composés binaires de l'azote avec les métaux, le silicium ou le bore avec le silicium
  • B82Y 30/00 - Nanotechnologie pour matériaux ou science des surfaces, p.ex. nanocomposites
  • C01B 21/072 - Composés binaires de l'azote avec les métaux, le silicium ou le bore avec l'aluminium
  • B82Y 40/00 - Fabrication ou traitement des nanostructures

72.

SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME

      
Numéro d'application 17871451
Statut En instance
Date de dépôt 2022-07-22
Date de la première publication 2023-09-28
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Kang, Wei-Cheng
  • Chang, Tzu-Hsuan
  • Weng, Wei-Yang
  • Cheng, Yu-Tzu
  • Hsu, Huang-Chun
  • Liao, Yu-Jung

Abrégé

A semiconductor device having a standard cell comprises a first bottom transistor, a first top transistor, a second bottom transistor, a second top transistor, and a first bottom-transistor-level metal line. The first bottom transistor is in a first row. The first top transistor is disposed above the first bottom transistor in the first row. The first bottom transistor and the first top transistor share a first gate structure. The second bottom transistor is in a second row next to the first row. The second top transistor is disposed above the second bottom transistor in the second row. The second bottom transistor and the second top transistor share a second gate structure. The first bottom-transistor-level metal line extends laterally from a first source/drain region of the first bottom transistor to a source/drain region of the second bottom transistor.

Classes IPC  ?

  • H01L 27/118 - Circuits intégrés à tranche maîtresse

73.

METHOD OF GENERATING RANDOMNESS BY PUBLIC PARTICIPATION

      
Numéro d'application 18099024
Statut En instance
Date de dépôt 2023-01-19
Date de la première publication 2023-09-21
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Hsun
  • Hsu, Yuming
  • Wang, Jing-Jie
  • Yang, Hao Cheng
  • Chen, Yu-Heng
  • Hu, Yih-Chun
  • Hsiao, Hsu-Chun

Abrégé

A method of generating randomness by public participation may comprise: communicating with the commodity devices to execute a protocol comprising a setup phase, a contribution phase and a result-generation phase, wherein: in the setup phase, parameters are initialized, a verifiable delay function is setup, and the parameters are published; the contribution phase is divided into at least one first stage, published parameters are provided, random values are received, and a Merkle tree root and Merkle tree audit paths are published in each of the first stage; and the result-generation phase is divided into at least one second stage of the same number as that of the first stage, each second stage is dedicated to one of the first stage ahead of the second stage for a period, and in each second stage, computation is performed to generate a result of randomness which is published.

Classes IPC  ?

  • H04L 9/06 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité l'appareil de chiffrement utilisant des registres à décalage ou des mémoires pour le codage par blocs, p.ex. système DES

74.

PTGR2 inhibitors and their use

      
Numéro d'application 17688369
Numéro de brevet 11851435
Statut Délivré - en vigueur
Date de dépôt 2022-03-07
Date de la première publication 2023-09-07
Date d'octroi 2023-12-26
Propriétaire
  • National Health Research Institutes (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Tsou, Lun Kelvin
  • Hung, Ming-Shiu
  • Chen, Chieh Wen
  • Hsieh, Meng-Lun
  • Chang, Yi-Cheng
  • Chuang, Lee Ming

Abrégé

Disclosed are compounds of formula (I) as follows: 1, W, and Het is defined herein. Also provides are a method of inhibiting prostaglandin reductase 2 (“PTGR2”) using such a compound and a pharmaceutical composition containing same.

Classes IPC  ?

  • C07D 487/04 - Systèmes condensés en ortho
  • C07D 239/56 - Un atome d'oxygène et un atome de soufre
  • C07D 417/12 - Composés hétérocycliques contenant plusieurs hétérocycles, au moins un cycle comportant des atomes de soufre et d'azote comme uniques hétéro-atomes du cycle, non prévus par le groupe contenant deux hétérocycles liés par une chaîne contenant des hétéro-atomes comme chaînons

75.

Antibacterial chemical compound, its manufacturing method and its use thereof

      
Numéro d'application 17686949
Numéro de brevet 11925650
Statut Délivré - en vigueur
Date de dépôt 2022-03-04
Date de la première publication 2023-09-07
Date d'octroi 2024-03-12
Propriétaire
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • NATIONAL YANG MING CHIAO TUNG UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chiu, Hao-Chieh
  • Shiau, Chung-Wai

Abrégé

The present invention provides an antibacterial chemical compound, its manufacturing method and its use thereof which acts as antibacterial agents being useful for treating a disease or condition characterized by infectious disease, such as gastroenteritis and invasive non-typhoidal Salmonellosis, and also providing a new therapeutic option for patients infected by the bacteria with the resistance to antibiotics.

Classes IPC  ?

  • A61K 31/5513 - 1,4-Benzodiazépines, p.ex. diazépam
  • A61K 31/165 - Amides, p.ex. acides hydroxamiques ayant des cycles aromatiques, p.ex. colchicine, aténolol, progabide
  • A61K 31/431 - Composés contenant des systèmes cycliques thia-4 aza-1 bicyclo [3.2.0] heptane, c.à d. composés contenant un système cyclique de formule , p.ex. pénicillines, pénèmes contenant d'autres systèmes hétérocycliques, p.ex. ticarcilline, azlocilline, oxacilline
  • A61K 31/496 - Pipérazines non condensées contenant d'autres hétérocycles, p.ex. rifampine, thiothixène
  • A61K 31/5383 - 1,4-Oxazines, p.ex. morpholine condensées en ortho ou en péri avec des systèmes hétérocycliques
  • A61K 31/65 - Tétracyclines 
  • A61K 31/7036 - Composés ayant des radicaux saccharide liés à des composés non-saccharide par des liaisons glycosidiques liés à un composé carbocyclique, p.ex. phloridzine ayant au moins un groupe amino lié directement au carbocycle, p.ex. streptomycine, gentamycine, amikacine, validamycine, fortimicines
  • A61P 31/04 - Agents antibactériens
  • C07D 495/04 - Systèmes condensés en ortho

76.

MEMORY DEVICE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 17681545
Statut En instance
Date de dépôt 2022-02-25
Date de la première publication 2023-08-31
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Li, Chia-Shuo
  • Wu, Yu-Tien
  • Chen, Bo-You
  • Ni, I-Chih
  • Wu, Chih-I

Abrégé

A method includes forming a transistor over a substrate; and forming a resistive element over the transistor, in which forming the resistive element includes forming a bottom electrode electrically connected to a source/drain region of the transistor; forming a resistive switching layer over the bottom electrode, in which the resistive switching layer is made of metal halide; and forming a top electrode over the resistive switching layer.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 27/24 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants à l'état solide pour le redressement, l'amplification ou la commutation, sans barrière de potentiel ni barrière de surface

77.

INTEGRATED CIRCUIT DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17678094
Statut En instance
Date de dépôt 2022-02-23
Date de la première publication 2023-08-24
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chiu, Jih-Chao
  • Liu, Chee-Wee

Abrégé

A method for fabricating an integrated circuit device is provided. The method includes forming a field effect transistor (FET) on a semiconductor substrate; depositing a first dielectric layer over the FET; depositing a first metal-containing dielectric layer over the first dielectric layer; and forming a first thin film transistor (TFT) over the first metal-containing dielectric layer.

Classes IPC  ?

  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 29/786 - Transistors à couche mince
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements

78.

Stacked semiconductor device with nanostructure channels and manufacturing method thereof

      
Numéro d'application 17673890
Numéro de brevet 11955384
Statut Délivré - en vigueur
Date de dépôt 2022-02-17
Date de la première publication 2023-08-17
Date d'octroi 2024-04-09
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tu, Chien-Te
  • Lin, Hsin-Cheng
  • Liu, Chee-Wee

Abrégé

A device includes a bottom transistor, a top transistor, and an epitaxial isolation structure. The bottom transistor includes a first channel layer, first source/drain epitaxial structures, and a first gate structure. The first source/drain epitaxial structures are on opposite sides of the first channel layer. The first gate structure is around the first channel layer. The top transistor is over the bottom transistor and includes a second channel layer, second source/drain epitaxial structures, and a second gate structure. The second source/drain epitaxial structures are on opposite sides of the second channel layer. The second gate structure is around the second channel layer. The epitaxial isolation structure is between and in contact with one of the first source/drain epitaxial structures and one of the second source/drain epitaxial structures, such that the one of the first source/drain epitaxial structures is electrically isolated from the one of the second source/drain epitaxial structures.

Classes IPC  ?

  • H01L 21/822 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels pour produire des dispositifs, p.ex. des circuits intégrés, consistant chacun en une pluralité de composants le substrat étant un semi-conducteur, en utilisant une technologie au silicium
  • H01L 21/761 - Jonctions PN
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

79.

System and Method for Continuous Cell Production

      
Numéro d'application 18107502
Statut En instance
Date de dépôt 2023-02-09
Date de la première publication 2023-08-10
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Young, Tai-Horng
  • Yen, Chia-Hsiang
  • Wu, Ying-Syuan
  • Shih, Chiao-Chi

Abrégé

The application provides a system for continuous cell production, comprising: a culture container; and a polymer blended layer arranged on the inner surface of the culture container; wherein, the polymer blended layer is a pH-responsive polymer blended with nylon. Additionally, a method for continuous cell production using the system of the present application is provided.

Classes IPC  ?

  • C12M 1/12 - Appareillage pour l'enzymologie ou la microbiologie avec des moyens de stérilisation, filtration ou dialyse
  • C12N 5/077 - Cellules mésenchymateuses, p.ex. cellules osseuses, cellules de cartilage, cellules stromales médulaires, cellules adipeuses ou cellules musculaires
  • C12N 5/0775 - Cellules souches mésenchymateuses; Cellules souches dérivées du tissu adipeux
  • C12N 5/071 - Cellules ou tissus de vertébrés, p.ex. cellules humaines ou tissus humains

80.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THEREOF

      
Numéro d'application 18135650
Statut En instance
Date de dépôt 2023-04-17
Date de la première publication 2023-08-10
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lan, Huang-Siang
  • Liu, Cheewee
  • Liu, Chi-Wen
  • Huang, Shih-Hsien
  • Wong, I-Hsieh
  • Yeh, Hung-Yu
  • Tsai, Chung-En

Abrégé

A semiconductor device includes a fin extending along a first direction over a substrate, and a gate structure extending in a second direction overlying the fin. The gate structure includes a gate dielectric layer overlying the fin, a gate electrode overlying the gate dielectric layer, and insulating gate sidewalls on opposing lateral surfaces of the gate electrode extending along the second direction. A source/drain region is formed in the fin in a region adjacent the gate electrode structure, and a stressor layer is between the source/drain region and the semiconductor substrate. The stressor layer includes GeSn or SiGeSn containing 1019 atoms cm−3 or less of a dopant, and a portion of the fin under the gate structure is a channel region.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/165 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en dans différentes régions semi-conductrices
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/66 - Types de dispositifs semi-conducteurs

81.

MEMORY STRUCTURE AND FORMATION METHOD THEREOF

      
Numéro d'application 17668514
Statut En instance
Date de dépôt 2022-02-10
Date de la première publication 2023-08-10
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Wei-Jen
  • Tsou, Ya-Jui
  • Liu, Chee-Wee
  • Lin, Shao-Yu
  • Wang, Chih-Lin

Abrégé

A memory structure comprises a dielectric layer, a first ferromagnetic bottom electrode, a second ferromagnetic bottom electrode, an SOT channel layer, and an MTJ structure. The dielectric layer is over the substrate. The first ferromagnetic bottom electrode extends through the dielectric layer. The second ferromagnetic bottom electrode extends through the dielectric layer, and is spaced apart from the first ferromagnetic bottom electrode. The SOT channel layer extends from the first ferromagnetic bottom electrode to the second ferromagnetic bottom electrode. The MTJ structure is over the SOT channel layer.

Classes IPC  ?

  • H01L 43/04 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails de dispositifs à effet Hall
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/06 - Dispositifs à effet Hall
  • H01L 43/14 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives pour dispositifs à effet Hall

82.

HUMANIZED ACE2-FC FUSION PROTEIN FOR TREATMENT AND PREVENTION OF SARS-COV-2 INFECTION

      
Numéro d'application 18001947
Statut En instance
Date de dépôt 2021-06-15
Date de la première publication 2023-07-27
Propriétaire
  • ACADEMIA SINICA (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Pan-Chyr
  • Chang, Sui-Yuan
  • Huang, Kuo-Yen

Abrégé

Disclosed herein are ACE2-Fc fusion polypeptides that contain at least one binding site for a spike protein of a coronavirus and methods of using such for therapeutic and/or diagnostic purposes. Also provided herein are methods for producing such fusion polypeptides.

Classes IPC  ?

  • C07K 14/705 - Récepteurs; Antigènes de surface cellulaire; Déterminants de surface cellulaire
  • A61K 47/64 - Conjugués médicament-peptide, médicament-protéine ou médicament-acide polyaminé, c. à d. l’agent de modification étant un peptide, une protéine ou un acide polyaminé lié par covalence ou complexé à un agent thérapeutiquement actif
  • A61P 31/14 - Antiviraux pour le traitement des virus ARN
  • C07K 14/55 - IL-2
  • A61K 38/20 - Interleukines
  • A61K 38/17 - Peptides ayant plus de 20 amino-acides; Gastrines; Somatostatines; Mélanotropines; Leurs dérivés provenant d'humains
  • A61K 45/06 - Mélanges d'ingrédients actifs sans caractérisation chimique, p.ex. composés antiphlogistiques et pour le cœur 

83.

Metal-insulator-semiconductor tunnel diode memory

      
Numéro d'application 17582674
Numéro de brevet 11855099
Statut Délivré - en vigueur
Date de dépôt 2022-01-24
Date de la première publication 2023-07-27
Date d'octroi 2023-12-26
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Hsu, Ting-Hao

Abrégé

A method includes forming a first dielectric layer over the substrate and covering first, second, third, fourth, fifth and sixth protrusion regions; forming first, second, and third gate conductors over the first, fourth, and fifth protrusion regions, respectively; performing a first implantation process to form a second source region and a second drain region in the fourth protrusion region; performing a second implantation process to form a first source region and a first drain region in the first protrusion region, and to form a third source region and a third drain region in the fifth protrusion region; forming a metal layer over the third protrusion region; patterning the metal layer to form an inner circular electrode and an outer ring electrode encircling the inner circular electrode; forming a word line; and forming a bit line.

Classes IPC  ?

  • H01L 29/88 - Diodes à effet tunnel
  • H01L 27/105 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration répétitive comprenant des composants à effet de champ
  • G11C 11/38 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des diodes, p.ex. comme éléments à seuil utilisant des diodes tunnels
  • H01L 27/102 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration répétitive comprenant des composants bipolaires
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H10B 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe

84.

SYSTEM FOR QUANTITATIVE DIFFERENTIAL PHASE CONTRAST MICROSCOPY WITH ISOTROPIC TRANSFER FUNCTION

      
Numéro d'application 18121979
Statut En instance
Date de dépôt 2023-03-15
Date de la première publication 2023-07-27
Propriétaire
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • YONGLIN HEALTHCARE FOUNDATION (Taïwan, Province de Chine)
Inventeur(s)
  • Luo, Yuan
  • Chuang, Yu-Hsuan
  • Lin, Yu-Zi

Abrégé

A system for quantitative differential phase contrast microscopy with isotropic transfer function utilizes a modulation mechanism to create a detection light field having a radial or other axial orientation of optical intensity gradient or other distribution. A condenser generates an off-axis light field to project onto an object under examination, thereby generating an object light field, which is then guided to an image capturing device through an objective lens for capturing images. A differential phase contrast algorithm is applied to the images for obtaining a phase, thereby a depth information corresponding to the phase can be obtained to reconstruct the surface profile of the object.

Classes IPC  ?

  • G02B 21/14 - Condensateurs donnant un éclairage pour une observation en contraste de phase
  • G02B 21/00 - Microscopes

85.

NEEDLE FREE DELIVERY SYSTEM AND OPERATION METHOD THEREOF

      
Numéro d'application 17661564
Statut En instance
Date de dépôt 2022-05-01
Date de la première publication 2023-07-27
Propriétaire
  • National Taiwan University of Science and Technology (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • National Defense Medical Center (Taïwan, Province de Chine)
Inventeur(s)
  • Liao, Ai-Ho
  • Wang, Chih-Hung
  • Liu, Hao-Li

Abrégé

The present disclosure provides a needle free delivery system, which includes a handheld device and a signal switching device. The signal switching device is electrically connected to the handheld device, and the handheld device includes an ultrasonic probe. The signal switching device provides a burst wave capable of generating a resonant carrier wave through piezoelectric material to the handheld device, so that an ultrasonic wave of the handheld device can perform a needleless delivery on a carrier.

Classes IPC  ?

  • A61B 8/00 - Diagnostic utilisant des ondes ultrasonores, sonores ou infrasonores
  • H04R 17/10 - Transducteurs résonnants, c. à d. adaptés pour produire une puissance de sortie maximum pour une fréquence déterminée

86.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17736819
Statut En instance
Date de dépôt 2022-05-04
Date de la première publication 2023-07-27
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Chun-Yuan
  • Chen, Miin-Jang

Abrégé

A method for fabricating a semiconductor device is provided. The method includes depositing a gate dielectric layer over a semiconductor substrate; depositing a work function layer over the gate dielectric layer by an atomic layer deposition (ALD) process, wherein the work function layer comprises a metal element and a nonmetal element, and the ALD process comprises a plurality of cycles. Each of the cycles comprises: introducing a precursor gas comprising the metal element to a chamber to form a precursor surface layer on the semiconductor substrate in the chamber; purging a remaining portion of the precursor gas away from the chamber; performing a reactive-gas plasma treatment using a reactive-gas plasma comprising the nonmetal element to convert the precursor surface layer into a monolayer of the work function layer; purging a remaining portion of the reactive-gas plasma away from the chamber, and performing an inert-gas plasma treatment in the chamber.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur

87.

DETECTION DEVICE AND DETECTION METHOD FOR DISTINGUISHING TYPES OF PARTICLES IN AQUEOUS SOLUTION

      
Numéro d'application 17830294
Statut En instance
Date de dépôt 2022-06-01
Date de la première publication 2023-07-20
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chi-Kuang
  • Wang, Peng-Jui

Abrégé

A detection device and a detection method for distinguishing types of particles in an aqueous solution are provided. The detection device includes a detection chip, a signal source and a processing device. The detection chip includes a substrate, a coplanar waveguide transmission line and a super-hydrophobic film mask. When a to-be-detected aqueous solution that contains to-be-detected particles is provided on the detection chip, the super-hydrophobic film mask of the detection chip can confine the to-be-detected aqueous solution in a detection area. The processing device controls the signal source to provide detection microwave signals with different detection frequencies, simultaneously measures a first output signal and a second output signal at the different detection frequencies to generate a to-be-detected absorption spectrum, and compares the to-be-detected absorption spectrum with historical absorption spectra, so as to determine types of the to-be-detected particles.

Classes IPC  ?

  • G01N 15/10 - Recherche de particules individuelles

88.

INTEGRATED CIRCUIT DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17580536
Statut En instance
Date de dépôt 2022-01-20
Date de la première publication 2023-07-20
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Lin, Jian-Yu

Abrégé

An integrated circuit device includes a semiconductor structure, a tunneling layer, a top electrode, a passivation layer, and a conductive feature. The semiconductor structure has a base portion and a protruding portion over a top surface of the base portion. The tunneling layer is over a top surface of the protruding portion of the semiconductor structure. The top electrode is over the tunneling layer. The passivation layer is over a sidewall of the protruding portion of the semiconductor structure. The conductive feature is directly below the protruding portion of the semiconductor structure.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/88 - Diodes à effet tunnel
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/94 - Dispositifs à métal-isolant-semi-conducteur, p.ex. MOS

89.

IDENTIFICATION METHOD OF PLASTIC MICROPARTICLES

      
Numéro d'application 17833936
Statut En instance
Date de dépôt 2022-06-07
Date de la première publication 2023-07-20
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Fan, Chihhao
  • Lin, Jhen-Nan
  • Li, Jun-Wei
  • Huang, Ya-Zhen

Abrégé

Provided is an identification method of plastic microparticles, including: performing an infrared analysis on plastic microparticles to identify whether the plastic microparticles include polyethylene terephthalate, polyethylene, polypropylene, or nylon 66, wherein the identification is to determine whether the plastic microparticles have a characteristic peak of each plastic, and the characteristic peak is selected from signals that do not overlap and interfere with each other in the infrared spectrum signals of each plastic.

Classes IPC  ?

  • G01N 21/3563 - Couleur; Propriétés spectrales, c. à d. comparaison de l'effet du matériau sur la lumière pour plusieurs longueurs d'ondes ou plusieurs bandes de longueurs d'ondes différentes en recherchant l'effet relatif du matériau pour les longueurs d'ondes caractéristiques d'éléments ou de molécules spécifiques, p.ex. spectrométrie d'absorption atomique en utilisant la lumière infrarouge pour l'analyse de solides; Préparation des échantillons à cet effet
  • G01N 33/44 - Résines; Matières plastiques; Caoutchouc; Cuir
  • G01J 3/42 - Spectrométrie d'absorption; Spectrométrie à double faisceau; Spectrométrie par scintillement; Spectrométrie par réflexion

90.

FLOATER STRUCTURE

      
Numéro d'application 18092086
Statut En instance
Date de dépôt 2022-12-30
Date de la première publication 2023-07-06
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Ma, Kai-Tung
  • Hsu, I-Jen
  • Chiang, Mao-Hsiung
  • Huang, Yun-Tzu
  • Chou, Shean-Kwang

Abrégé

The present invention provides a floater structure. The floater structure is used for bearing the tower of wind turbines, especially for the offshore wind turbines. The floater structure is constructed via a main column, two off columns and a pontoon. The off column is connected to any other main column and the off column via a horizontal bracing, and the pontoon is connected to the main column and the two off columns. The shape of the pontoon is triangle, and three corners of the triangle are round corners, polygon corners, or the combinations thereof.

Classes IPC  ?

  • B63B 35/38 - Pontons à liaisons rigides
  • B63B 35/44 - Constructions, magasins, plates-formes de forage ou ateliers flottants, p.ex. portant des appareils séparateurs huile-eau
  • B63B 1/12 - Caractéristiques hydrodynamiques ou hydrostatiques des coques ou des ailes portantes tirant la portance principalement du déplacement liquide à coques multiples les coques étant reliées rigidement les unes aux autres

91.

DETECTION PLATFORM AND METHOD FOR DETECTING ABUSED DRUGS

      
Numéro d'application 17983401
Statut En instance
Date de dépôt 2022-11-09
Date de la première publication 2023-06-29
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Huan-Tsung
  • Yen, Yao-Te
  • Lin, Yu-Syuan

Abrégé

A detection platform is suitable for detecting an abused drug in a sample. The detection platform includes a sensing array, an image and transmission tool, and a remote workstation. The sensing array includes a reaction container, gold nanoclusters, carbon quantum dots, silver nanoclusters and a mixed solution after reaction with a Marquis reagent. The reaction container has a plurality of first grooves and a plurality of second grooves. The gold nanoclusters, the carbon quantum dots, the silver nanoclusters, and the mixed solution after reaction with the Marquis reagent are arranged in the corresponding first grooves and the corresponding second grooves, respectively. When the abused drug reacts with the gold nanoclusters, carbon quantum dots and silver nanoclusters in the first grooves, respectively, and the mixed solution after the abused drug reacting with the Marquis reagent is added to the second groove, a detection result is obtained.

Classes IPC  ?

  • G01N 21/64 - Fluorescence; Phosphorescence
  • G01N 33/94 - Analyse chimique de matériau biologique, p.ex. de sang ou d'urine; Test par des méthodes faisant intervenir la formation de liaisons biospécifiques par ligands; Test immunologique faisant intervenir des narcotiques

92.

MEMORY DEVICE

      
Numéro d'application 18163520
Statut En instance
Date de dépôt 2023-02-02
Date de la première publication 2023-06-15
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Chen, Bo-Jyun
  • Lin, Kuan-Wun

Abrégé

A memory device includes a semiconductor substrate and a memory cell at a memory region of the semiconductor substrate. A memory cell includes a memory portion of the semiconductor substrate, a tunneling layer, a storage layer, a first electrode, and a second electrode. The tunneling layer is over the memory portion of the semiconductor substrate. The storage layer is over and in contact with the tunneling layer. The first electrode is over the storage layer. The second electrode is over and in contact with the tunneling layer but is spaced apart from the storage layer.

Classes IPC  ?

  • H10B 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe

93.

PHOTO DETECTOR

      
Numéro d'application 18070025
Statut En instance
Date de dépôt 2022-11-28
Date de la première publication 2023-06-08
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Ching-Fuh
  • Su, Zih-Chun
  • Chang, Jen-Yao

Abrégé

A photo detector is provided with a metal, a semiconductor, a first electrode, and a second electrode. In addition, a pre-treatment and/or a post-treatment is performed to the photo detector to reduce its noise and hence improves the signal-to-noise ratio (SNR). The provided photo detector can quickly respond to short mid-infrared light and generate low noise and high SNR currents.

Classes IPC  ?

  • H10K 30/00 - Dispositifs organiques sensibles au rayonnement infrarouge, à la lumière, au rayonnement électromagnétique de plus courte longueur d'onde ou au rayonnement corpusculaire
  • H10K 30/81 - Dispositifs organiques sensibles au rayonnement infrarouge, à la lumière, au rayonnement électromagnétique de plus courte longueur d'onde ou au rayonnement corpusculaire - Détails de structure Électrodes
  • H10K 71/40 - Traitement thermique, p. ex. recuit en présence d'une vapeur de solvant
  • H10K 85/30 - Composés de coordination

94.

QUANTUM CHARGE-COUPLED DEVICE

      
Numéro d'application 17993887
Statut En instance
Date de dépôt 2022-11-24
Date de la première publication 2023-06-01
Propriétaire National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Guin-Dar
  • Png, Wen-Han

Abrégé

A quantum charge-coupled device including a first ion, a second ion, a fixed ion trap, an adjustable ion trap, and an excitation light source is provided. The fixed ion trap is configured to stationarily trap the first ion. The adjustable ion trap works as an ion rail disposed beside the fixed ion trap, wherein the ion rail is configured to make the second ion move at a constant velocity along the ion rail. The excitation light source is configured to irradiate an incident light beam. The incident light beam includes a series of light pulses and covers the first ion and the second ion when a distance between them becomes less than or equal to a proximity range, such that a quantum entangled state is directly built between the first ion and the second ion in uniform motion.

Classes IPC  ?

  • G06N 10/40 - Réalisations ou architectures physiques de processeurs ou de composants quantiques pour la manipulation de qubits, p.ex. couplage ou commande de qubit

95.

MEMORY DEVICE AND FORMING METHOD THEREOF

      
Numéro d'application 17715886
Statut En instance
Date de dépôt 2022-04-07
Date de la première publication 2023-06-01
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Tsou, Ya-Jui
  • Chen, Wei-Jen
  • Liu, Pang-Chun
  • Liu, Chee-Wee
  • Lin, Shao-Yu
  • Wang, Chih-Lin

Abrégé

A memory device comprises a source region, a drain region, a channel region, a gate dielectric layer, an MTJ stack, and a metal gate. The source region and the drain region are over a substrate. The channel region is between the source region and the drain region. The gate dielectric layer is over the channel region. The MTJ stack is over the gate dielectric layer. The MTJ stack comprises a first ferromagnetic layer, a second ferromagnetic layer with a switchable magnetization, and a tunnel barrier layer between the first and second ferromagnetic layers. The metal gate is over the MTJ stack.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs

96.

DATA PROCESSING SYSTEM FOR PROCESSING GENE SEQUENCING DATA

      
Numéro d'application 17880281
Statut En instance
Date de dépôt 2022-08-03
Date de la première publication 2023-05-18
Propriétaire
  • National Yang Ming Chiao Tung University (Taïwan, Province de Chine)
  • National Taiwan University (Taïwan, Province de Chine)
Inventeur(s)
  • Hung, Jui-Hung
  • Yang, Chia-Hsiang
  • Wu, Yi-Chung
  • Chen, Yen-Lung
  • Yang, Chung-Hsuan

Abrégé

A data processing system can be operated in one of a preprocessing mode, a short-read mapping mode, a sequence assembly mode or a variant calling mode that are related to a to-be-tested DNA sequence. The data processing system includes a sorting engine that supports high-speed processing of sorting in the preprocessing mode and the sequence assembly mode, and a dynamic processing engine that supports dynamic programming calculations in the short-read mapping mode and the variant calling mode. The data processing system may be implemented on a system-on-chip (SoC) for performing accelerated processing of gene sequencing data with reduced memory requirements.

Classes IPC  ?

  • G16B 50/00 - TIC pour la programmation d’outils ou de systèmes de bases de données spécialement adaptées à la bio-informatique
  • G16B 30/20 - Assemblage de séquences
  • G06F 16/31 - Indexation; Structures de données à cet effet; Structures de stockage

97.

DEVICE WITH ALTERNATE COMPLEMENTARY CHANNELS AND FABRICATION METHOD THEREOF

      
Numéro d'application 17677929
Statut En instance
Date de dépôt 2022-02-22
Date de la première publication 2023-05-18
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Shih-Ya
  • Tu, Chien-Te
  • Tsai, Chung-En
  • Liu, Chee-Wee

Abrégé

A device comprises a gate structure, n-type source/drain features, p-type source/drain features, an NFET channel, and a PFET channel. The gate structure is over a substrate. The n-type source/drain features are on opposite first and second sides of the gate structure, respectively. The p-type source/drain features are on opposite third and fourth sides of the gate structure, respectively. The NFET channel extends within the gate structure and connects the n-type source/drain features. The PFET channel extends within the gate structure and connects the p-type source/drain features. The NFET channel and the PFET channel are vertically spaced apart by the gate structure.

Classes IPC  ?

  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/786 - Transistors à couche mince
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 29/66 - Types de dispositifs semi-conducteurs

98.

DATA PROCESSING METHOD FOR RAPIDLY SUPPRESSING HIGH-FREQUENCY BACKGROUND NOISE IN A DIGITIZED IMAGE

      
Numéro d'application 17688902
Statut En instance
Date de dépôt 2022-03-08
Date de la première publication 2023-05-18
Propriétaire NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chi-Kuang
  • Borah, Bhaskar Jyoti

Abrégé

A data processing method for rapidly suppressing background high frequency noise in a digitized image. The data processing method includes configuring a graphical processing unit to perform a first amplification process, a pixel binning process or a first interpolation process, a first low-pass filtering process, a second interpolation process, a first subtraction process, a second low-pass filtering process, a second amplification process, and a second subtraction process on an input image, so as to subtract a subtraction mask from the input image and generate a noise-suppressed output image.

Classes IPC  ?

  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image
  • G06T 5/20 - Amélioration ou restauration d'image en utilisant des opérateurs locaux
  • G06T 5/50 - Amélioration ou restauration d'image en utilisant plusieurs images, p.ex. moyenne, soustraction

99.

SUPERCONDUCTIVE QUBIT DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17715897
Statut En instance
Date de dépôt 2022-04-07
Date de la première publication 2023-05-04
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Yeh, Yu-Chen
  • Liang, Chi-Te
  • Goan, Hsi-Sheng

Abrégé

A device includes a source region, a drain region, a channel region, a pair of depletion gates, an accumulation gate, and a superconductive resonator. The channel region is between the source region and the drain region. The pair of depletion gates are spaced apart from each other. The pair of depletion gates both overlap the channel region and define a quantum dot qubit region in the channel region and between the pair of depletion gates. The accumulation gate is above and crossing the pair of depletion gates. The superconductive resonator is laterally adjacent the quantum dot qubit region.

Classes IPC  ?

  • H01L 39/22 - Dispositifs comportant une jonction de matériaux différents, p.ex. dispositifs à effet Josephson
  • H01L 39/12 - Dispositifs utilisant la supraconductivité ou l'hyperconductivité; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails caractérisés par le matériau
  • H01L 39/24 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement des dispositifs couverts par  ou de leurs parties constitutives
  • H01P 7/08 - Résonateurs triplaque
  • G06N 10/40 - Réalisations ou architectures physiques de processeurs ou de composants quantiques pour la manipulation de qubits, p.ex. couplage ou commande de qubit

100.

MEMORY DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18066205
Statut En instance
Date de dépôt 2022-12-14
Date de la première publication 2023-04-20
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Chiang, Tzu-Hao

Abrégé

A memory device includes a transistor, a memory cell, and an interconnect layer. The transistor includes a bottom source/drain portion, a channel portion, and a top source/drain portion stacked from bottom to top and a gate structure surrounding the channel portion. The memory cell includes a nanowire bottom electrode, a first dielectric layer, a second dielectric layer, and a top electrode. The first dielectric layer laterally surrounds the nanowire bottom electrode. The second dielectric layer is over the nanowire bottom electrode and the first dielectric layer. The second dielectric layer is in contact with a top surface of the nanowire bottom electrode and a sidewall of the first dielectric layer. The top electrode covers the second dielectric layer. The interconnect layer is over the transistor and the memory cell to interconnect the transistor and the memory cell.

Classes IPC  ?

  • H10B 63/00 - Dispositifs de mémoire par changement de résistance, p.ex. dispositifs RAM résistifs [ReRAM]
  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  1     2     3     ...     12        Prochaine page