ASML Netherlands B.V.

Netherlands

Back to Profile

1-100 of 6,808 for ASML Netherlands B.V. Sort by
Query
Excluding Subsidiaries
Aggregations Reset Report
IP Type
        Patent 6,683
        Trademark 125
Jurisdiction
        United States 3,945
        World 2,768
        Canada 58
        Europe 37
Date
New (last 4 weeks) 58
2024 April (MTD) 35
2024 March 43
2024 February 63
2024 January 73
See more
IPC Class
G03F 7/20 - Exposure; Apparatus therefor 3,950
G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically 699
H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma 495
G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor 458
G03B 27/42 - Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original 424
See more
NICE Class
09 - Scientific and electric apparatus and instruments 111
42 - Scientific, technological and industrial services, research and design 62
07 - Machines and machine tools 57
37 - Construction and mining; installation and repair services 27
40 - Treatment of materials; recycling, air and water treatment, 18
See more
Status
Pending 665
Registered / In Force 6,143
  1     2     3     ...     69        Next Page

1.

CHARGED PARTICLE APPARATUS AND METHOD

      
Application Number 18530109
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-04-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van Soest, Jurgen
  • Veenstra, Roy Ramon
  • Smakman, Erwin Paul
  • Van Zutphen, Tom
  • Mangnus, Albertus Victor Gerardus

Abstract

The disclosure relates to a charged particle beam apparatus configured to project charged particle beams towards a sample. The charged particle beam apparatus comprises: a plurality of charged particle-optical columns configured to project respective charged particle beams towards the sample, wherein each charged particle-optical column comprises: a charged particle source configured to emit the charged particle beam towards the sample, the charged particle sources being comprised in a source array; an objective lens comprising an electrostatic electrode configured to direct the charged particle beam towards the sample; and a detector associated with the objective lens array, configured to detect signal charged particles emitted from the sample. The objective lens is the most down-beam element of the charged particle-optical column configured to affect the charged particle beam directed towards the sample.

IPC Classes  ?

  • H01J 37/12 - Lenses electrostatic
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path

2.

CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Application Number EP2023075534
Publication Number 2024/078821
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Weperen, Ilse

Abstract

A charged particle assessment system comprising: a charged-particle beam apparatus configured to direct a charged particle beam onto a sample so that secondary particles and backscattered particles are generated in response to the charged particle beam; an array of sensing elements configured to generate electrical signals in response to incident secondary particles or backscattered particles from the sample; and a controller configured to selectively activate a first subset (333) of the set of sensing elements, to selectively deactivate a second subset (332) of the set of sensing elements and to combine the electrical signals of the selected subset into a detector output signal, wherein the selective activation and selective deactivation are based on a predicted distribution of secondary particles or backscattered particles. The application also concerns a non-transitory computer-readable medium including a set of instructions that is executable by one or more processors of a controller to cause the controller control a charged particle assessment system to perform a corresponding method of configuring a detector having an array of sensing elements.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

3.

AN ABERRATION CORRECTION OPTICAL SYSTEM

      
Application Number EP2023075254
Publication Number 2024/078813
Status In Force
Filing Date 2023-09-14
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tukker, Teunis, Willem
  • Van Voorst, Peter, Danny
  • Zhou, Zili
  • Van Greevenbroek, Hendrikus, Robertus, Marie

Abstract

An optical arrangement for aberration correction, comprising: a beam dispersing element for spatially dispersing a broadband radiation beam in a first transverse direction; a focusing lens for focusing the broadband radiation beam subsequently to said dispersing, wherein said focusing lens is arranged such that the dispersed broadband radiation beam passes through at least one off-center position of the focusing lens in at least one pass, wherein said off-center position is a position displaced from a center of the focusing lens in a second transverse direction, wherein the first transverse direction and the second transverse direction are mutually perpendicular and parallel to a focal plane of the focusing lens; and at least one aberration compensating lens displaced in the second transverse direction with respect to at least part of the broadband radiation beam so as to substantially compensate for lateral chromatic aberration.

IPC Classes  ?

  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 5/18 - Diffracting gratings
  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
  • G02B 27/10 - Beam splitting or combining systems
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

4.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD OF ALIGNING A SAMPLE IN A CHARGED PARTICLE ASSESSMENT SYSTEM

      
Application Number 18397896
Status Pending
Filing Date 2023-12-27
First Publication Date 2024-04-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor Slot, Erwin

Abstract

Disclosed herein is a method of aligning a sample in a charged particle assessment system. The system comprises a support for supporting a sample, and is configured to project charged particles in a multi-beam towards a sample along a multi-beam path, the multi-beam comprising an arrangement of beamlets, and to detect signal particles emitted from the sample in response to a corresponding beamlet of the multi-beam. The method comprises: directing the multi-beam of charged particles along the multi-beam path towards an alignment feature of the sample, such that the field of view of the multi-beam of charged particles encompasses the alignment feature; detecting the signal particles emitted from the sample; generating a dataset representative of the alignment feature based on the detecting of the signal particles; and determining a global alignment of the sample with respect to the multi-beam path, using the dataset.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation

5.

PROCESS WINDOW BASED ON DEFECT PROBABILITY

      
Application Number 18511454
Status Pending
Filing Date 2023-11-16
First Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Slachter, Abraham
  • Hunsche, Stefan
  • Tel, Wim Tjibbo
  • Van Oosten, Anton Bernhard
  • Van Ingen Schenau, Koenraad
  • Rispens, Gijsbert
  • Peterson, Brennan

Abstract

A method including obtaining (i) measurements of a parameter of the feature, (ii) data related to a process variable of a patterning process, (iii) a functional behavior of the parameter defined as a function of the process variable based on the measurements of the parameter and the data related to the process variable, (iv) measurements of a failure rate of the feature, and (v) a probability density function of the process variable for a setting of the process variable, converting the probability density function of the process variable to a probability density function of the parameter based on a conversion function, where the conversion function is determined based on the function of the process variable, and determining a parameter limit of the parameter based on the probability density function of the parameter and the measurements of the failure rate.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

6.

METHOD FOR RULE-BASED RETARGETING OF TARGET PATTERN

      
Application Number 17769107
Status Pending
Filing Date 2020-09-24
First Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Hamouda, Ayman

Abstract

A method for generating a retargeted pattern for a target pattern to be printed on a substrate. The method includes obtaining (i) the target pattern comprising at least one feature, the at least one feature having geometry including a first dimension and a second dimension, and (ii) a plurality of biasing rules defined as a function of the first dimension, the second dimension, and a property associated with features of the target pattern within a measurement region; determining values of the property at a plurality of locations on the at least one feature of the target pattern, each location surrounded by the measurement region; selecting, from the plurality of biasing rules based on the values of the property, a sub-set of biases; and generating the retargeted pattern by applying the selected sub-set of biases to the at least one feature of the target pattern.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

7.

APPARATUS OF PLURAL CHARGED-PARTICLE BEAMS

      
Application Number 18392494
Status Pending
Filing Date 2023-12-21
First Publication Date 2024-04-18
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ren, Weiming
  • Liu, Xuedong
  • Hu, Xuerang
  • Chen, Zhongwei

Abstract

A multi-beam apparatus for observing a sample with high resolution and high throughput is proposed. In the apparatus, a source-conversion unit forms plural and parallel images of one single electron source by deflecting plural beamlets of a parallel primary-electron beam therefrom, and one objective lens focuses the plural deflected beamlets onto a sample surface and forms plural probe spots thereon. A movable condenser lens is used to collimate the primary-electron beam and vary the currents of the plural probe spots, a pre-beamlet-forming means weakens the Coulomb effect of the primary-electron beam, and the source-conversion unit minimizes the sizes of the plural probe spots by minimizing and compensating the off-axis aberrations of the objective lens and condenser lens.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/06 - Electron sources; Electron guns
  • H01J 37/10 - Lenses
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

8.

SUBSTRATE SUPPORT QUALIFICATION

      
Application Number EP2023074956
Publication Number 2024/078802
Status In Force
Filing Date 2023-09-12
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Berendsen, Christianus, Wilhelmus, Johannes
  • Van Oene, Maarten, Marinus
  • Rops, Cornelius, Maria
  • Ammerlaan, Johannes, Andreas, Maria
  • Gattobigio, Giovanni, Luca

Abstract

Disclosed herein is a stand-alone qualification system for determining at least one operating characteristic of a fluid extraction system of a substrate support, the qualification system comprising: an extraction support system configured to support a two-phase fluid extraction by the fluid extraction system; and a measurement system configured to determine at least one operating characteristic of the fluid extraction system in dependence on the two-phase fluid extraction.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

9.

INSPECTION SYSTEMS USING METASURFACE AND INTEGRATED OPTICAL SYSTEMS FOR LITHOGRAPHY

      
Application Number EP2023075424
Publication Number 2024/078818
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jahani, Saman
  • Van Engelen, Jorn, Paul
  • Rezvani Naraghi, Roxana
  • Setija, Irwan, Dani

Abstract

An inspection system includes an integrated optical system with a substrate, waveguide system, and first and second grating couplers disposed on the substrate, first and second detectors, and a micro-structured illumination adjuster. The integrated optical system receives first through fourth portions of illuminations scattered from a target having corresponding first through fourth wavelengths. The first through fourth grating couplers launch the first through fourth portions into the waveguide system. The first and second wavelengths are different from the third and fourth wavelengths. The first detector receives a combination of the first and second portions to generate a first measurement signal. The second detector receives a combination of the third and fourth portions to generate a second measurement signal. The micro-structured illumination adjuster includes first through fourth micro-structured regions to direct the first through fourth portions to corresponding ones of the first through fourth grating couplers.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 6/00 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

10.

METHOD FOR OPERATING A DETECTION SYSTEM OF A METROLOGY DEVICE AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023074551
Publication Number 2024/078792
Status In Force
Filing Date 2023-09-07
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Der Post, Sietse, Thijmen
  • Scherjon, Martinus Paulus, Maria

Abstract

Disclosed is a method of reading out a detection arrangement, said detection arrangement defining a detection area in terms of plurality of pixels. The method comprises receiving scattered radiation on said detection arrangement; dividing the detection area into at least two different regions of interest based at least on a measurement parameter of said scattered radiation; and employing a respective different readout scheme for each said regions of interest, so as to read out said detection arrangement.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H04N 25/443 - Extracting pixel data from image sensors by controlling scanning circuits, e.g. by modifying the number of pixels sampled or to be sampled by partially reading an SSIS array by reading pixels from selected 2D regions of the array, e.g. for windowing or digital zooming

11.

ELECTROSTATIC CLAMP WITH A STRUCTURED ELECTRODE BY POST BOND STRUCTURING

      
Application Number EP2023075913
Publication Number 2024/078830
Status In Force
Filing Date 2023-09-20
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Eden, Gustaaf, Galein
  • Raaymakers, Jeroen, Arnoldus, Leonardus, Johannes
  • Uitterdijk, Tammo

Abstract

Disclosed herein are embodiments that relate to an electrostatic wafer clamps and methods for forming and modifying electrode structures for electrostatic wafer clamps. Wafer clamps include electrode structures in a dielectric layer with a plurality of burls interconnected via grounding lines. By modifying the electrode structures near the grounding lines by post bond structuring or the like, the electric field can be reduced, resulting in lower cycle inducing charging.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

12.

MIRROR LAYER AND MIRROR FOR A LITHOGRAPHIC APPARATUS

      
Application Number EP2023077038
Publication Number 2024/078895
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Houweling, Zomer, Silvester
  • Donmez Noyan, Inci

Abstract

There is provided a mirror layer for a lithographic apparatus comprising at least one element which forms a chemical bond with silicon having a bond dissociation energy of at least 447 kJ mol-1. Also provided is a method of manufacturing such a mirror layer, a mirror including a mirror layer described herein, and a lithographic apparatus comprising such a mirror layer or mirror. Also described is the use of molybdenum silicon sulphide, oxide, selenide, or fluoride in a mirror layer or mirror and the use of such a mirror layer or mirror in a lithographic apparatus or method.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

13.

ELECTRON-OPTICAL ELEMENT

      
Application Number EP2023077260
Publication Number 2024/078912
Status In Force
Filing Date 2023-10-02
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koning, Johan, Joost
  • Del Tin, Laura

Abstract

A charged particle-optical element for a charged particle-optical module configured to direct charged particles along at least one beam path, the charged particle-optical element comprising: a substrate comprising at least one aperture for passage therethrough of the at least one beam path; at least one electronic component so as to provide a component surface of the substrate; and an electrical connector electrically connected to the at least one electronic component and extending through the substrate; wherein the substrate comprises a thicker portion and a thinner portion that is thinner than the thicker portion, and the electrical connector extends through the thinner portion.

IPC Classes  ?

  • H01J 37/24 - Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
  • H01J 37/244 - Detectors; Associated components or circuits therefor

14.

ALIGNMENT OF ELECTRON-OPTICAL ELEMENTS

      
Application Number EP2023077229
Publication Number 2024/078910
Status In Force
Filing Date 2023-10-02
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Langen, Johannes, Cornelis, Jacobus
  • Koning, Johan, Joost
  • Scheffers, Paul, Ijmert
  • Del Tin, Laura
  • Steunebrink, Martin

Abstract

A stack of planar elements for a charged particle-optical module configured to project charged particles along a beam path, the stack comprising: a pair of adjoining planar elements arranged across the beam path, wherein one of the planar elements comprises an alignment fiducial and the other of the planar elements comprises a monitoring aperture; wherein the pair of planar elements are positioned relative to each other such that the alignment fiducial and the monitoring aperture are aligned with each other in a direction substantially perpendicular to a plane of the planar elements.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/09 - Diaphragms; Shields associated with electron- or ion-optical arrangements; Compensation of disturbing fields

15.

METROLOGY TARGET SIMULATION

      
Application Number 18265755
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Kraaij, Markus Gerardus Martinus Maria

Abstract

A method of simulating an electromagnetic response of a metrology target comprising first and second gratings, wherein the second grating is below the first grating, the method comprising: receiving a model defining (i) the first grating as having a first number of grating lines within a pitch, each of the first number of grating lines separated by a first pitch; and (ii) the second grating as having a second number of grating lines within the pitch, each of the second number of grating lines separated by a second pitch; using the model and the first pitch to simulate properties of the first grating and generate a first scattering matrix; using the model and the second pitch to simulate properties of the second grating and generate a second scattering matrix; generating a scattering matrix defining properties of the metrology target by combining the first scattering matrix and the second scattering matrix.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

16.

METHODS AND SYSTEM FOR DETERMINING ABERRATIONS OF A PROJECTION SYSTEM

      
Application Number EP2023075234
Publication Number 2024/074276
Status In Force
Filing Date 2023-09-14
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Geelen, Paul, Jean, Maurice

Abstract

Methods, and corresponding systems for, determining one or more aberrations of a projection system (for example a projection system of a lithographic apparatus) are disclosed. One method comprises performing a phase stepping or phase scanning process using a first patterning device (at object level) that comprises a specular diffraction grating. Also disclosed is a calibration method for determining calibration data which characterizes any differences between: aberrations of a projection system determined using a diffusive grating at object level and aberrations of a projection system determined using a specular grating at object level.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01M 11/02 - Testing optical properties
  • G01B 9/02098 - Shearing interferometers
  • G01J 9/02 - Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength by interferometric methods
  • G01M 11/00 - Testing of optical apparatus; Testing structures by optical methods not otherwise provided for

17.

ELECTRON-OPTICAL MODULE

      
Application Number EP2023075609
Publication Number 2024/074292
Status In Force
Filing Date 2023-09-18
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Langen, Johannes, Cornelis, Jacobus
  • Koning, Johan, Joost
  • Del Tin, Laura
  • Doesburg, Olivier, Jacob
  • Zijl, Gomaar

Abstract

A charged particle-optical module (41) for directing charged particles along a path towards a sample location, the charged particle-optical module comprises: a plurality of planar elements or electrodes (61-64) arranged across the path and configured to operate on the charged particles; a thermal conditioning channel 80 spaced from the planar elements in a direction through the plurality of elements; and a thermally conductive plate (61-64;240;75) connected to the thermal conditioning channel for transferring heat towards the thermal conditioning channel; wherein the thermally conductive plate extends between the planar elements and the thermal conditioning channel in a direction parallel to one or more of the planar elements.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/12 - Lenses electrostatic

18.

A MACHINE LEARNING MODEL USING TARGET PATTERN AND REFERENCE LAYER PATTERN TO DETERMINE OPTICAL PROXIMITY CORRECTION FOR MASK

      
Application Number 18276018
Status Pending
Filing Date 2022-01-31
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zhang, Quan
  • Chen, Been-Der
  • Fong, Wei-Chun
  • Zhu, Zhangnan
  • Boone, Robert Elliott

Abstract

Described are embodiments for generating a post-optical proximity correction (OPC) result for a mask using a target pattern and reference layer patterns. Images of the target pattern and reference layers are provided as an input to a machine learning (ML) model to generate a post-OPC image. The images may be input separately or combined into a composite image (e.g., using a linear function) and input to the ML model. The images are rendered from pattern data. For example, a target pattern image is rendered from a target pattern to be printed on a substrate, and a reference layer image such as dummy pattern image is rendered from dummy pattern. The ML model is trained to generate the post-OPC image using multiple images associated with target patterns and reference layers, and using a reference post-OPC image of the target pattern. The post-OPC image may be used to generate a post-OPC mask.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

19.

CONFIGURATION OF PATTERNING PROCESS

      
Application Number 18277014
Status Pending
Filing Date 2022-02-25
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ser, Jung Hoon
  • Park, Sungwoon
  • Lei, Xin
  • Jeong, Jinwoong
  • Zhao, Rongkuo
  • Hsu, Duan-Fu Stephen
  • Li, Xiaoyang

Abstract

Methods for configuring a patterning process based on results of another patterning process is described. The method includes obtaining a first set of contours by simulating a first patterning process using a design layout in a first orientation. The contours satisfy a design specification associated with the design layout and correspond to a first set of process window conditions. A second patterning process is configured based on a second orientation of the design layout, the first set of process window conditions and the first set of contours. The second patterning process is associated with one or more design variables (e.g., illumination, mask pattern) that affect a second set of contours. The configuring includes adjusting one or more design variables until the second set of contours are within a desired matching threshold with the first set of contours.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

20.

HOLLOW-CORE PHOTONIC CRYSTAL FIBER BASED BROADBAND RADIATION GENERATOR

      
Application Number 18505860
Status Pending
Filing Date 2023-11-09
First Publication Date 2024-04-11
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ravensbergen, Janneke
  • Uebel, Patrick Sebastian
  • Pongers, Willem Richard

Abstract

A broadband radiation source device configured for generating a broadband output radiation upon receiving pump radiation, the device including: a hollow-core photonic crystal fiber (HC-PCF) including at least one structurally varied portion having at least one structural parameter of the HC-PCF varied with respect to one or more main portions of the HC-PCF, wherein the at least one structurally varied portion includes at least a structurally varied portion located downstream of a position along the length of the HC-PCF where the pump radiation will be spectrally expanded by a modulation instability dominated nonlinear optical process, and wherein the at least one structurally varied portion is configured and located such that the broadband output radiation includes wavelengths in the ultraviolet region.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G02B 6/02 - Optical fibres with cladding
  • G02F 1/365 - Non-linear optics in an optical waveguide structure
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • H01S 3/067 - Fibre lasers

21.

METHODS OF FITTING MEASUREMENT DATA TO A MODEL AND MODELING A PERFORMANCE PARAMETER DISTRIBUTION AND ASSOCIATED APPARATUSES

      
Application Number 17766585
Status Pending
Filing Date 2020-10-05
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Keyvani Janbahan, Aliasghar
  • Spiering, Frans Reinier
  • Wildenberg, Jochem Sebastiaan
  • Mos, Everhardus Cornelis

Abstract

A method of processing measurement data relating to a substrate processed by a manufacturing process. The method includes obtaining measurement data relating to a performance parameter for at least a portion of the substrate; and fitting the measurement data to a model by minimizing a complexity metric applied to fitting parameters of the model while not allowing the deviation between the measurement data and the fitted model to exceed a threshold value.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

22.

ALIGNMENT METHOD AND ASSOCIATED ALIGNMENT AND LITHOGRAPHIC APPARATUSES

      
Application Number 18276420
Status Pending
Filing Date 2022-02-11
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Thissen, Nick Franciscus Wilhelmus
  • Karssemeijer, Leendert Jan

Abstract

A method for determining a set of correction weights to correct metrology data. The method includes obtaining first metrology data relating to a plurality of illumination settings of measurement radiation used to perform a measurement, where each illumination setting includes a different wavelength, polarization or combination thereof; fitting the metrology data to a model for representing the metrology data and determining fit residuals; and determining the correction weights as correction weights which minimize the fit residuals.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

23.

PELLICLE MEMBRANE FOR A LITHOGRAPHIC APPARATUS AND METHOD

      
Application Number 18276248
Status Pending
Filing Date 2022-02-03
First Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Vermeulen, Paul Alexander
  • Houweling, Zomer Silvester

Abstract

A carbon nanotube membrane including carbon nanotubes having a pre-selected bonding configuration or (m, n) chirality, wherein the carbon nanotube membrane has a substantial amount of carbon nanotubes having zigzag (m, 0) chirality and/or armchair (m, m) chirality. An apparatus for the treatment of a carbon-based membrane, a method for treating carbon based membranes, pellicles including carbon based membranes, lithographic apparatuses includes carbon nanotube membranes, as well as the use of carbon nanotube membranes in lithographic apparatuses and methods are also described.

IPC Classes  ?

  • C01B 32/174 - Derivatisation; Solubilisation; Dispersion in solvents
  • B01J 19/12 - Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
  • B01J 19/24 - Stationary reactors without moving elements inside
  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

24.

METHOD AND APPARATUS FOR CONTROLLING A LITHOGRAPHIC APPARATUS, AND A LITHOGRAPHIC APPARATUS

      
Application Number EP2023074387
Publication Number 2024/074255
Status In Force
Filing Date 2023-09-06
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Jin, Wenjie

Abstract

A computer-implemented method of generating one or more control actions for controlling a lithographic apparatus. The lithographic apparatus comprises an illumination system for illuminating a mask with a non-uniform radiation beam. The illumination system is configured to receive from a radiation source a radiation beam, and comprising a beam-shaping device configured to receive data specifying profile information, and shape a transverse profile of the radiation beam based on the profile information to form the non-uniform radiation beam. The method comprises processing the profile information to generate an estimated diffraction pattern produced by illuminating the mask with the non-uniform radiation beam, and processing the estimated diffraction pattern to generate one or more control actions for a control system of the lithographic apparatus.

IPC Classes  ?

25.

TUNABLE OPTICAL SYSTEM

      
Application Number EP2023075460
Publication Number 2024/074286
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abstract

Systems and methods for providing variable spot size and variable focus at a substrate are described. Sets of variable focal length lenses can be added to an alignment system to allow for adjustment of the spot size and focus. A variable focal length lens is a liquid lens that is tunable based on application of voltage across the lens. Toggling the voltage changes the water-oil interface in the liquid lens, which in turn changes the direction of light passing through. For example, turning on the voltage across the lens shifts the light output direction to converging at a focal point. As a result, variable focal length lenses provide adjustment to compensate for the fixed spot size and focus shortcomings of the prior art. Furthermore, variable focal length lenses can also be applied to compensate for spot shift and higher order diffraction orders.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

26.

ADC CALIBRATION FOR MICROSCOPY

      
Application Number EP2023076057
Publication Number 2024/074314
Status In Force
Filing Date 2023-09-21
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Mook, Hindrik, Willem
  • Dave, Dhara
  • Stylianou, Antri
  • Beugin, Vincent, Claude
  • Brandt, Pieter, Lucas
  • Martinez Negrete Gasque, Diego

Abstract

A method of calibrating analog-to-digital converters, ADCs, of a charged particle-optical device comprises: providing, for each of the ADCs, image data of charged particles detected from a sample output by the ADC; calculating, for each of the ADCs, at least one statistical value from a distribution of the image data output by the ADC; and changing at least one setting of at least one of the ADCs based on the calculated at least one statistical values so as to compensate for any mismatch between the at least one statistical value of the ADCs.

IPC Classes  ?

  • H03M 1/10 - Calibration or testing
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

27.

COOLING DEVICE FOR COOLING A POSITION-SENSITIVE COMPONENT OF A LITHOGRAPHY SYSTEM

      
Application Number EP2023072998
Publication Number 2024/068138
Status In Force
Filing Date 2023-08-22
Publication Date 2024-04-04
Owner
  • CARL ZEISS SMT GMBH (Germany)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fetzer, Matthias
  • Mettenleiter, Luca
  • Steijns, Richard

Abstract

A cooling device (200) for cooling a position-sensitive component (102) of a lithography system (1), comprising a cooling line (206) with a liquid chamber (218) for conducting a cooling liquid (112) to the position-sensitive component (102) and a gas chamber (220) for receiving a gas (222), and an elastic separating membrane (224) which is arranged inside the cooling line (206) and separates the gas chamber (220) from the liquid chamber (218).

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G02B 7/18 - Mountings, adjusting means, or light-tight connections, for optical elements for mirrors
  • G02B 27/64 - Imaging systems using optical elements for stabilisation of the lateral and angular position of the image

28.

PARAMETERIZED INSPECTION IMAGE SIMULATION

      
Application Number EP2023075167
Publication Number 2024/068280
Status In Force
Filing Date 2023-09-13
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yuan, Rui
  • Fan, Chi-Hsiang
  • Chang, Yi-Hsin
  • Wang, Fuming
  • Lin, Yun
  • Elmalk, Abdalmohsen

Abstract

An improved method, apparatus, and system for generating a simulated inspection image are disclosed. According to certain aspects, the method comprises acquiring design data including a first pattern, generating a first gray level profile corresponding to the design data, and rendering an image using the generated first gray level profile.

IPC Classes  ?

29.

SCANNING ELECTRON MICROSCOPY (SEM) BACK-SCATTERING ELECTRON (BSE) FOCUSED TARGET AND METHOD

      
Application Number EP2023076085
Publication Number 2024/068426
Status In Force
Filing Date 2023-09-21
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fu, Jiyou
  • Tabery, Cyrus, Emil
  • Gaury, Benoit, Herve

Abstract

A method for evaluating a scanning electron microscope (SEM) system is provided, comprising accessing an SEM image of two or more sets of overlay targets, wherein each set of overlay targets comprises buried features and top features, the buried features at a buried depth, wherein, in at least one of the two or more sets of overlay targets, the top features are recessed, each of the recesses having a corresponding recess depth, wherein the recess depths for the top features of the two or more sets of overlay targets are different; and determining a beam tilt angle of a SEM system based on the SEM image of the two or more sets of overlay targets.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube

30.

NOVEL INTERFACE DEFINITION FOR LITHOGRAPHIC APPARATUS

      
Application Number 18274990
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Klinkhamer, Jacob Fredrik Friso
  • Van Hinsberg, Michel Alphons Theodorus

Abstract

A method for representing control parameter data for controlling a lithographic apparatus during a scanning exposure of an exposure field on a substrate, the method including: obtaining a set of periodic base functions, each base function out of the set of periodic base functions having a different frequency and a period smaller than a dimension associated with the exposure field across which the lithographic apparatus needs to be controlled; obtaining the control parameter data; and determining a representation of the control parameter data using the set of periodic base functions.

IPC Classes  ?

31.

A METHOD OF DETERMINING A MEASUREMENT RECIPE AND ASSOCIATED METROLOGY METHODS AND APPARATUSES

      
Application Number 18275663
Status Pending
Filing Date 2022-01-12
First Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Laarhoven, Hendrik Adriaan
  • Van Den Broek, Bastiaan Maurice
  • Rutigliani, Vito Daniele

Abstract

A method of determining a measurement recipe for measurement of in-die targets located within one or more die areas of an exposure field. The method includes obtaining first measurement data relating to measurement of a plurality of reference targets and second measurement data relating to measurement of a plurality of in-die targets, the targets having respective different overlay biases and measured using a plurality of different acquisition settings for acquiring the measurement data. One or more machine learning models are trained using the first measurement data to obtain a plurality of candidate measurement recipes, wherein the candidate measurement recipes include a plurality of combinations of a trained machine learned model and a corresponding acquisition setting; and a preferred measurement recipe is determined from the candidate measurement recipes using the second measurement data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

32.

A METHOD FOR CHARACTERIZING A MANUFACTURING PROCESS OF SEMICONDUCTOR DEVICES

      
Application Number 18387082
Status Pending
Filing Date 2023-11-06
First Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tel, Wim Tjibbo
  • Dillen, Hermanus Adrianus
  • Kea, Marc Jurian
  • Maslow, Mark John
  • Thuijs, Koen
  • Engblom, Peter David
  • Huijgen, Ralph Timotheus
  • Slotboom, Daan Maurits
  • Mulkens, Johannes Catharinus Hubertus

Abstract

A method of determining a characteristic of one or more processes for manufacturing features on a substrate, the method including: obtaining image data of a plurality of features on a least part of at least one region on a substrate; using the image data to obtain measured data of one or more dimensions of each of at least some of the plurality of features; determining a statistical parameter that is dependent on the variation of the measured data of one or more dimensions of each of at least some of the plurality of features; determining a probability of defective manufacture of features in dependence on a determined number of defective features in the image data; and determining the characteristic of the one or more processes to have the probability of defective manufacture of features and the statistical parameter.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

33.

CHARGED PARTICLE APPARATUS

      
Application Number EP2023074917
Publication Number 2024/068252
Status In Force
Filing Date 2023-09-11
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kuiper, Vincent, Sylvester
  • Scotuzzi, Marijke

Abstract

The present invention provides a charged particle apparatus for projecting multiple beam grids of charged particle beams towards a plurality of samples. The apparatus comprises: a stage configured to support a plurality of samples at respective sample positions; and an array of charged particle devices respectively configured to project a plurality of charged particle beams in a beam grid towards the respective the sample positions. The charged particle devices respectively comprise: an objective lens configured to direct the beam grid of the charged particle device on a sample at the respective sample position; and a detector configured to detect signal particles from the sample. The stage is configured to be actuated relative to the array of charged particle devices. The stage and the array of charged particle devices are configured such that the array of charged particle devices scan relative to the plurality of samples simultaneously.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support

34.

TUNABLE OPTICAL SYSTEM

      
Application Number EP2023075356
Publication Number 2024/068297
Status In Force
Filing Date 2023-09-14
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abstract

Systems and methods for providing variable spot size and variable focus at a substrate are described. Sets of variable focal length lenses can be added to an alignment system to allow for adjustment of the spot size and focus. A variable focal length lens is a liquid lens that is tunable based on application of voltage across the lens. Toggling the voltage changes the water-oil interface in the liquid lens, which in turn changes the direction of light passing through. For example, turning on the voltage across the lens shifts the light output direction to converging at a focal point. As a result, variable focal length lenses provide adjustment to compensate for the fixed spot size and focus shortcomings of the prior art. Furthermore, variable focal length lenses can also be applied to compensate for spot shift and higher order diffraction orders.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

35.

SYSTEMS FOR PATH COMPENSATION WITH A MOVING OBJECTIVE

      
Application Number EP2023075422
Publication Number 2024/068308
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Cappelli, Douglas, C.

Abstract

Disclosed is a mirror set having a first mirror, a second mirror, and a movable stage to which the mirror set is mounted to cause the first mirror and the second mirror to move together with the movable stage. The first mirror is configured to receive a beam at a first angle from an axis of the mirror set and the second mirror is configured to provide the beam at a second angle from the axis of the mirror set, the beam providing an output after reflection by the second mirror. Movement of the mirror set parallel to the axis results in a parallel shift of the output along the beam movement of the mirror set perpendicular to the axis results in a perpendicular shift of the output perpendicular to the beam.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
  • G02B 26/10 - Scanning systems

36.

APPARATUS FOR AND METHOD OF REDUCING CONTAMINATION FROM SOURCE MATERIAL IN AN EUV LIGHT SOURCE

      
Application Number 18528933
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-03-28
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ma, Yue
  • Labetski, Dzmitry
  • Laforge, Andrew David

Abstract

Disclosed is a source for and method of generating extreme ultraviolet radiation in which spitting of molten target material is hindered through depletion of the number of hydrogen radicals available to enter deposits of molten target material and create hydrogen bubbles therein by introducing an active gas that reacts with the hydrogen radicals.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

37.

METHOD OF COMPENSATING FOR AN EFFECT OF ELECTRODE DISTORTION, ASSESSMENT SYSTEM

      
Application Number 18534455
Status Pending
Filing Date 2023-12-08
First Publication Date 2024-03-28
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

Assessment systems and methods are disclosed. In one arrangement, an effect of electrode distortion in an objective lens array is compensated. An electrode distortion is adjusted by varying an electrostatic field in the objective lens array. The adjustment is such as to compensate for an effect of electrode distortion on sub-beams of a multi-beam impinging on a sample. A sub-beam is refocused in response to the variation in electrostatic field in the objective lens array. The adjusting and the refocusing comprises changing potentials applied to at least two electrodes of the objective lens array.

IPC Classes  ?

  • H01J 37/12 - Lenses electrostatic
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/244 - Detectors; Associated components or circuits therefor

38.

READOUT DESIGN FOR CHARGED PARTICLE COUNTING DETECTORS

      
Application Number EP2023073279
Publication Number 2024/061566
Status In Force
Filing Date 2023-08-24
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Yongxin
  • Studulski, Steven Michael

Abstract

A charged particle beam detector may include a plurality of sensing elements, each sensing element being connected to a dedicated array of signal detection cells. Each signal detection cell may include a solid state current controlling device configured to rapidly extract charge from the sensing element during a detection event. Each signal detection cell may further include a simplified architecture that automatically enables the next signal detection cell once a valid detection happens. The detection cell may store the detection signal until a controller is ready to read the signal out. Thus, each detection cell may be enabled in rapid succession to receive and store a signal with a simple and robust architecture.

IPC Classes  ?

  • G01T 1/17 - Circuit arrangements not adapted to a particular type of detector
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors

39.

OPTICAL ALIGNMENT SYSTEM AND METHOD

      
Application Number EP2023073434
Publication Number 2024/061572
Status In Force
Filing Date 2023-08-25
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van De Kerkhof, Marcus, Adrianus

Abstract

An optical alignment system comprising an illumination system configured to condition a radiation beam to form a first off-axis monopole, a marker configured to diffract the first off-axis monopole to form zeroth and first diffraction orders, a projection system configured to collect the zeroth and first diffraction orders and form an image of the marker, and a sensor apparatus configured to detect the image of the marker.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

40.

SYSTEM AND METHOD FOR IMAGE DISTURBANCE COMPENSATION

      
Application Number EP2023074093
Publication Number 2024/061596
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Luo, Ying
  • Dong, Zhonghua

Abstract

Systems, apparatuses, and methods include a generating a reference signal based on a power input for an imaging system; generating a scan signal indicating a scan of a sample; extracting, from an image generated from the scan, first and second disturbance data; generating a calibrated frequency, magnitude, and phase of the image disturbance using the extracted first and second disturbance data, the reference signal, and the scan signal; combining the calibrated frequency, magnitude, and phase of the image disturbance and the reference signal to generate a compensation signal; and using the compensation signal to control a plurality of deflection drivers used for manipulating charged particles to scan the sample.

IPC Classes  ?

  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes

41.

SYSTEM AND METHOD FOR IMAGE RESOLUTION CHARACTERIZATION

      
Application Number EP2023074498
Publication Number 2024/061632
Status In Force
Filing Date 2023-09-06
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Luo, Xinan

Abstract

Systems, apparatuses, and methods include a providing a raw image of a sample; observing a pixel size of the raw image; converting the raw image into a transformed image by applying a Fourier transform to the raw image; applying a function, based on the pixel size, to the transformed image; and determining a key performance indicator of a resolution of the raw image based on results of the applied function.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube

42.

POSITIONING SYSTEM FOR AN OPTICAL ELEMENT OF A METROLOGY APPARATUS

      
Application Number EP2023075306
Publication Number 2024/061736
Status In Force
Filing Date 2023-09-14
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Suit, Brandon, Michael
  • Lomans, Bram, Antonius, Gerardus
  • Cappelli, Douglas, C.
  • Ochs, Jason

Abstract

A positioning system for an optical element such as an objective of a metrology apparatus (e.g., an overlay measurement apparatus used in a semiconductor manufacturing process) is described. The positioning system comprises a stage and a positioner. The positioner comprises at least one flexible support coupled to the stage, with the at least one flexible support configured to be relatively stiff in an axial direction of the positioner, and relatively flexible in other directions. The positioner comprises a base coupled to the at least one flexible support, with the base configured to be actuated to move in the axial direction, and in turn move the stage. The positioner comprises a guide configured to couple the base to a frame of the metrology apparatus, with the guide configured to bend when the base is actuated.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

43.

APPARATUS AND METHOD FOR DETERMINING A CONDITION ASSOCIATED WITH A PELLICLE

      
Application Number 18376237
Status Pending
Filing Date 2023-10-03
First Publication Date 2024-03-28
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • ASML HOLDING N.V. (Netherlands)
Inventor
  • Brouns, Derk Servatius Gertruda
  • Adams, Joshua
  • Bendiksen, Aage
  • Jacobs, Richard
  • Judge, Andrew
  • Kottapalli, Veera Venkata Narasimha Narendra Phani
  • Lyons, Joseph Harry
  • Modderman, Theodorus Marinus
  • Ranjan, Manish
  • Van De Kerkhof, Marcus Adrianus
  • Xiong, Xugang

Abstract

An apparatus for determining a condition associated with a pellicle for use in a lithographic apparatus, the apparatus including a sensor, wherein the sensor is configured to measure a property associated with the pellicle, the property being indicative of the pellicle condition.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 1/64 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material thereof

44.

FEATURE BASED CELL EXTRACTION FOR PATTERN REGIONS

      
Application Number 18039701
Status Pending
Filing Date 2021-11-24
First Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Lin, Yan-Ting

Abstract

Systems and methods of feature-based cell extraction. The methods include obtaining data representative of a layout, wherein the layout includes a pattern region having no vertices, extracting unit cells from the pattern region having no vertices, identifying, using the unit cells, a set of regions of the layout matching the unit cells, and generating, using the unit cells, a hierarchy for the set of regions. In some embodiments the pattern regions have oblique angle features or have no vertices of features. The pattern regions can have a feature including a feature slope, a horizontal or a vertical pitch, or a line-space feature. In some embodiments the hierarchy is optimized using a linear optimization and can be provided for use in modeling, OPC, defect inspection, defect prediction, or SMO.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

45.

METHOD AND APPARATUS FOR BONDING SUBSTRATES

      
Application Number EP2023073234
Publication Number 2024/061565
Status In Force
Filing Date 2023-08-24
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ravichandran, Arvind
  • Venugopalan, Syam, Parayil

Abstract

A device for aligning and placing electrical components includes a first stage to support at least one first electrical component, each first electrical component having a plurality of conductive surfaces on a side opposite the first stage, a second stage to support at least one second electrical component, each second electrical component having a plurality of conductive surfaces on a side opposite the second stage, a voltage source to produce a voltage between the conductive surfaces of the first electrical components and conductive surfaces of the second electrical components, and a controller to control relative motion between the first stage and the second stage, and to align corresponding ones of the plurality of conductive surfaces of the first electrical component with corresponding ones of the plurality of conductive surfaces on the second electrical component at least partially on the basis of an electrostatic force therebetween.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices
  • H01L 25/18 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different subgroups of the same main group of groups , or in a single subclass of ,
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

46.

METHOD OF FORMING A PATTERNED LAYER OF MATERIAL, APPARATUS FOR FORMING A PATTERNED LAYER OF MATERIAL

      
Application Number EP2023073783
Publication Number 2024/061581
Status In Force
Filing Date 2023-08-30
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Venugopalan, Syam, Parayil
  • De Jager, Pieter, Willem, Herman
  • Faramarzi, Vina

Abstract

The disclosure relates to methods and apparatus for forming a patterned layer of material on a substrate. In one arrangement, a selected portion of a surface of a substrate is irradiated during a deposition process. The irradiation locally drives the deposition process in the selected portion and thereby forms a patterned layer of material in a pattern defined by the selected portion. A bias voltage of alternating polarity is applied to the substrate during the irradiation to periodically drive secondary electrons generated inside the substrate by the irradiation towards the surface in the selected portion.

IPC Classes  ?

  • C23C 16/04 - Coating on selected surface areas, e.g. using masks
  • G03F 7/16 - Coating processes; Apparatus therefor

47.

METROLOGY METHOD AND SYSTEM AND LITHOGRAPHIC SYSTEM

      
Application Number 18269983
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Alpeggiani, Filippo
  • Belt, Harm Jan Willem
  • Goorden, Sebatianus Adrianus
  • Setija, Irwan Dani
  • Huisman, Simon Reinald
  • Pellemans, Henricus Petrus Maria

Abstract

A method for measuring a parameter of interest from a target and associated apparatuses. The method includes obtaining measurement acquisition data relating to measurement of the target and finite-size effect correction data and/or a trained model operable to correct for at least finite-size effects in the measurement acquisition data. At least finite-size effects in the measurement acquisition data is corrected for using the finite-size effect correction data and/or the trained model to obtain corrected measurement data and/or obtain a parameter of interest; and where the correcting does not directly determine the parameter of interest, determining the parameter of interest from the corrected measurement data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

48.

METHOD FOR GENERATING PATTERNING DEVICE PATTERN AT PATCH BOUNDARY

      
Application Number 18382822
Status Pending
Filing Date 2023-10-23
First Publication Date 2024-03-21
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhang, Quan
  • Cho, Yong-Ju
  • Zhu, Zhangnan
  • Huang, Boyang
  • Chen, Been-Der

Abstract

A method for generating a mask pattern to be employed in a patterning process. The method including obtaining (i) a first feature patch including a first polygon portion of an initial mask pattern, and (ii) a second feature patch including a second polygon portion of the initial mask pattern; adjusting the second polygon portion at a patch boundary between the first feature patch and the second feature patch such that a difference between the first polygon portion and the second polygon portion at the patch boundary is reduced; and combining the first polygon portion and the adjusted second polygon portion at the patch boundary to form the mask pattern.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

49.

PELLICLE AND METHODS FOR FORMING PELLICLE FOR USE IN A LITHOGRAPHIC APPARATUS

      
Application Number EP2023074764
Publication Number 2024/056548
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-21
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • IMEC V.Z.W. (Belgium)
Inventor
  • Vermeulen, Paul, Alexander
  • Gallagher, Emily, Elizabeth
  • Pollentier, Ivan, Karel, Arthur
  • Brems, Steven

Abstract

A pellicle for use in a lithographic apparatus, the pellicle include: a membrane, the membrane having a first portion and a second portion; and a protective portion at the second portion on at least one side of the membrane. A method for forming a pellicle for use in a lithographic apparatus, the method including: providing a membrane having a first portion and a second portion, and providing a protective portion at the second portion on at least one side of the membrane.

IPC Classes  ?

  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

50.

A PATTERNING DEVICE VOLTAGE BIASING SYSTEM FOR USE IN EUV LITHOGRAPHY

      
Application Number EP2023074779
Publication Number 2024/056552
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Brouns, Derk, Servatius, Gertruda
  • Nikipelov, Andrey
  • Cats, Selwyn, Yannick, Frithjof
  • Yaghoobi, Parham
  • Cloin, Christian, Gerardus, Norbertus, Hendricus, Marie
  • Yakunin, Andrei, Mikhailovich
  • Mylapravan Gangadharan, Hariprasad

Abstract

A patterning device voltage biasing system for use in a lithographic apparatus, the patterning device voltage biasing system comprising: a patterning device configured to impart a pattern to a beam of radiation, the patterning device comprising a patterning surface with a pattern thereon; and a voltage source, wherein the patterning device voltage biasing system is configured such that a voltage can be applied to the patterning surface of the patterning device by the voltage source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

51.

INTENSITY ORDER DIFFERENCE BASED METROLOGY SYSTEM, LITHOGRAPHIC APPARATUS, AND METHODS THEREOF

      
Application Number 18255543
Status Pending
Filing Date 2021-12-02
First Publication Date 2024-03-21
Owner
  • ASML Holding N.V. (Netherlands)
  • ASML Netherlands B.V. (Netherlands)
Inventor
  • Kreuzer, Justin Lloyd
  • Huisman, Simon Reinald
  • Goorden, Sebastianus Adrianus
  • Alpeggiani, Filippo

Abstract

The system includes a radiation source, a diffractive element, an optical system, a detector, and a processor. The radiation source generates radiation. The diffractive element diffracts the radiation to generate a first beam and a second beam. The first beam includes a first non-zero diffraction order and the second beam includes a second non-zero diffraction order that is different from the first non-zero diffraction order. The optical system receives a first scattered beam and a second scattered radiation beam from a target structure and directs the first scattered beam and the second scattered beam towards a detector. The detector generates a detection signal. The processor analyzes the detection signal to determine a target structure property based on at least the detection signal. The first beam is attenuated with respect to the second beam or the first scattered beam is purposely attenuated with respect to the second scattered beam.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

52.

SEMICONDUCTOR CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Application Number 18038206
Status Pending
Filing Date 2021-10-26
First Publication Date 2024-03-21
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Nihtianov, Stoyan
  • Kanai, Kenichi
  • Ramachandra Rao, Padmakumar

Abstract

A detector may be provided for a charged particle apparatus comprising: a sensing element including a diode; and a circuit configured to detect an electron event caused by an electron impacting the sensing element, wherein the circuit comprises a voltage monitoring device and a reset device, wherein the reset device is configured to regularly reset the diode by setting a voltage across the diode to a predetermined value, and wherein the voltage monitoring device is connected to the diode to monitor a voltage across the diode in between resets.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

53.

METHOD TO PREDICT METROLOGY OFFSET OF A SEMICONDUCTOR MANUFACTURING PROCESS

      
Application Number 18276014
Status Pending
Filing Date 2022-01-21
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Dos Santos Guzella, Thiago
  • Ishibashi, Masashi
  • Sanno, Noriaki
  • Bastani, Vahid
  • Sahraeian, Reza
  • Saputra, Putra

Abstract

A method for determining a spatially varying process offset for a lithographic process, the spatially varying process offset (MTD) varying over a substrate subject to the lithographic process to form one or more structures thereon. The method includes obtaining a trained model (MOD), having been trained to predict first metrology data based on second metrology data, wherein the first metrology data (OV) is spatially varying metrology data which relates to a first type of measurement of the one or more structures being a measure of yield and the second metrology data (PB) is spatially varying metrology data which relates to a second type of measurement of the one or more structures and correlates with the first metrology data; and using the model to obtain the spatially varying process offset (MTD).

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

54.

PATTERNING DEVICE CONDITIONING SYSTEM AND METHOD

      
Application Number 17768881
Status Pending
Filing Date 2020-09-14
First Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van De Kerkhof, Marcus Adrianus
  • Van De Wetering, Ferdinandus Martinus Jozef Henricus
  • Yakunin, Andrei Mikhailovich

Abstract

A reticle conditioning system includes: a support structure to support a reticle; a gas supply module to provide a flow of gas adjacent to the reticle; and a biasing module to control an electrical potential of the reticle. The biasing module includes a first electrode, a second electrode and a voltage supply. The first and second electrodes are each spaced apart from and facing the reticle, when the reticle is supported by the support structure, so as to at least partially overlap with the reticle. The voltage supply is arranged to maintain the first electrode at a positive voltage, and the second electrode at a negative voltage, these voltages being such that the voltage of the reticle is negative. The second electrode is disposed such that, when the reticle is supported by the support structure, it does not overlap an image forming portion of the reticle.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

55.

A MULTI-PASS RADIATION DEVICE

      
Application Number EP2023071964
Publication Number 2024/056284
Status In Force
Filing Date 2023-08-08
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Edward, Stephen
  • Abdolvand, Amir

Abstract

A radiation device for generating broadband output radiation upon receiving pulsed input radiation, the radiation device comprising: an oscillating cavity comprising a first reflecting surface and a second reflecting surface; and a nonlinear medium located between the first reflecting surface and the second reflecting surface; wherein the oscillating cavity is configured to receive and to oscillate therewithin said pulsed input radiation such that the pulsed input radiation undergoes a filamentation process resulting in formation of one or more filaments in the nonlinear medium and such that the pulsed input radiation undergoes a plurality of passes through the nonlinear medium such that it is spectrally broadened by the nonlinear medium to form the broadband output radiation.

IPC Classes  ?

56.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023072458
Publication Number 2024/056296
Status In Force
Filing Date 2023-08-15
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tinnemans, Patricius, Aloysius Jacobus
  • Van Putten, Eibert, Gerjan

Abstract

Disclosed is a dark-field metrology method. A first partial electric field is determined from a measurement parameter of first diffracted radiation data relating to a first portion of a diffraction order and a second partial electric field is determined from a measurement parameter of second diffracted radiation data relating to a second portion of the diffraction order, The first portion of the diffraction order and second portion of the diffraction order relate to respective portions of a detection pupil plane or conjugate thereof. An electric field of said diffraction order is determined from said first partial electric field and said second partial electric field.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

57.

ILLUMINATION ADJUSTMENT APPARATUSES AND LITHOGRAPHIC APPARATUSES

      
Application Number EP2023072765
Publication Number 2024/056318
Status In Force
Filing Date 2023-08-18
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ungureanu, Nicolae, Marian
  • Melfi, Jr., James
  • Chester, James, F.
  • Kaminer, Yuval
  • Apone, Nicholas, Stephen

Abstract

An illumination adjustment apparatus includes a plate, actuators, and finger structures. The actuators include coils disposed on the plate. The finger structures include beryllium alloy material. Ones of the finger structures are coupled to corresponding ones of the actuators via magnets. The finger structures are moved independently using the actuators, are disposed at least partially in a path of a beam of radiation to intercept at least a portion of the beam, and adjust an intensity cross-section of the beam based on the moving and the intercepting.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

58.

MIXED ENERGY CONTROL IN AN EUV LITHOGRAPHY SYSTEM

      
Application Number EP2023073112
Publication Number 2024/056330
Status In Force
Filing Date 2023-08-23
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Yan
  • Masic, Milenko
  • Jacques, Robert N.
  • Park, Jisang

Abstract

A system for and method of controlling extreme ultraviolet (EUV) output power in an EUV radiation source in which one control loop controls at least one system variable impacting the power of a drive laser while the drive laser generates laser pulses converting a portion of target material in an irradiation region and another control loop controls a hit/miss firing pattern which determines which laser pulses will strike a portion of target material in the irradiation region and which will not.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

59.

METHODS AND APPARATUS FOR ACOUSTIC METROLOGY

      
Application Number 18268467
Status Pending
Filing Date 2021-12-15
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Arabul, Mustafa Ümit
  • Zhou, Zili
  • Coene, Willem Marie,julia,marcel
  • Verschuren, Coen Adrianus
  • Van Neer, Paul, Louis,maria Joseph
  • Piras, Daniele
  • Blaak, Sandra
  • Koek, Wouter Dick
  • Willekers, Robert Wilhelm

Abstract

A metrology apparatus for determining one or more parameters of a structure fabricated in or on a semiconductor substrate. The apparatus comprises a transducer array comprising a plurality of transducers positioned in a plane. The plurality of transducers comprises at least one transmitter transducer for emitting acoustic radiation in a frequency range from 1 GHz to 100 GHz towards the structure, and at least one receiver transducer for receiving acoustic radiation reflected and/or diffracted from the structure.

IPC Classes  ?

  • G01N 29/04 - Analysing solids
  • G01N 29/26 - Arrangements for orientation or scanning
  • G01N 29/28 - Investigating or analysing materials by the use of ultrasonic, sonic or infrasonic waves; Visualisation of the interior of objects by transmitting ultrasonic or sonic waves through the object - Details providing acoustic coupling

60.

GUIDING DEVICE AND ASSOCIATED SYSTEM

      
Application Number 18380439
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Labetski, Dzmitry
  • Berendsen, Christianus Wilhelmus Johannes
  • Duarte Rodrigues Nunes, Rui Miguel
  • Ershov, Alexander Igorevich
  • Feenstra, Kornelis Frits
  • Fomenkov, Igor Vladimirovich
  • Hummler, Klaus Martin
  • Johnkadaksham, Arun
  • Kraushaar, Matthias
  • Laforge, Andrew David
  • Langlois, Marc Guy
  • Loginov, Maksim
  • Ma, Yue
  • Mojab, Seyedmohammad
  • Nadir, Kerim
  • Shatalov, Alexander
  • Stewart, John Tom
  • Tegenbosch, Henricus Gerardus
  • Xia, Chunguang

Abstract

An extreme ultraviolet radiation (EUV) source, including: a vessel having an inner vessel wall and an intermediate focus (IF) region; an EUV collector disposed inside the vessel, the EUV collector including a reflective surface configured to reflect EUV radiation toward the intermediate focus region, the reflective surface configured to directionally face the IF region of the vessel; a showerhead disposed along at least a portion of the inner vessel wall, the showerhead including a plurality of nozzles configured to introduce gas into the vessel; and one or more exhausts configured to remove gas introduced into the vessel, the one or more exhausts being oriented along at least a portion of the inner vessel wall so that the gas is caused to flow away from the EUV collector.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

61.

CHARGED PARTICLE OPTICAL DEVICE, OBJECTIVE LENS ASSEMBLY, DETECTOR, DETECTOR ARRAY, AND METHODS

      
Application Number 18513481
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor Mangnus, Albertus Victor Gerardus

Abstract

The disclosed embodiments provide a various techniques for detecting secondary charged particles and backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, using mirror detector arrays, using multiple detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path

62.

CHARGED PARTICLE DEVICE AND METHOD

      
Application Number 18517642
Status Pending
Filing Date 2023-11-22
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor Mangnus, Albertus Victor Gerardus

Abstract

The present disclosure provides a charged particle optical device for a charged particle system. The device projects an array of charged particle beams towards a sample. The device comprises a control lens array to control a parameter of the array of beams; and an objective lens array to project the array of beams onto the sample, the objective lens array being down beam of the control lens. The objective lens array comprises: an upper electrode; and a lower electrode arrangement that comprises an up-beam electrode and a down-beam electrode. The device is configured to apply an upper potential to the upper electrode, an up-beam potential to the up-beam electrode and a down-beam potential to the down-beam electrode. The potentials are controlled to control the landing energy of the beams on the sample and. to maintain focus of the beams on the sample at the landing energies.

IPC Classes  ?

  • H01J 37/05 - Electron- or ion-optical arrangements for separating electrons or ions according to their energy
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/21 - Means for adjusting the focus

63.

HOLOGRAPHIC METROLOGY APPARATUS AND METHOD

      
Application Number EP2023071962
Publication Number 2024/052031
Status In Force
Filing Date 2023-08-08
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Buijs, Robin, Daniel
  • Van Schaijk, Theodorus, Thomas, Marinus
  • Den Boef, Arie, Jeffrey

Abstract

A method of determining a parameter of interest of a structure comprising at least one first feature oriented along a first axis of a structure coordinate system and at least one second feature oriented along a second axis of the structure coordinate system. The method comprising: illuminating the first feature and the second feature with first illumination from a first direction oblique to said first axis and second axis, so as to generate first scattered radiation from the first feature and second scattered radiation from the second feature, detecting a first interference pattern formed by interference between a portion of the first scattered radiation and first reference illumination; detecting a second interference pattern formed by interference between a portion of the second scattered radiation and the first reference illumination; and determining the parameter of interest of the structure using the first interference pattern and the second interference pattern.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03H 1/04 - Processes or apparatus for producing holograms
  • G03H 1/08 - Synthesising holograms

64.

EUV RADIATION BEAM POWER REDUCTION

      
Application Number EP2023072122
Publication Number 2024/052041
Status In Force
Filing Date 2023-08-10
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Szwedowicz, Konrad
  • Der Kinderen, Ronny

Abstract

A method of providing an additional EUV radiation exposure of part of a die on a substrate at a level of EUV radiation power which compensates for a previous low exposure, the method using EUV radiation power incident upon a patterning device of a lithographic apparatus, the lithographic apparatus comprising a first array of mirrors and a second array of mirrors, the first array of mirrors being configured to receive EUV radiation and to reflect the EUV radiation as sub-beams of radiation towards the second array of mirrors, wherein the method comprises rotating mirrors of the first array such that at least some of the sub-beams of radiation are incident on mirrors of the second array at positions which provide reduced transmission of the sub-beams of radiation to the patterning device.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

65.

MEASURING CONTRAST AND CRITICAL DIMENSION USING AN ALIGNMENT SENSOR

      
Application Number EP2023072449
Publication Number 2024/052061
Status In Force
Filing Date 2023-08-15
Publication Date 2024-03-14
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • IMEC V.Z.W. (Belgium)
Inventor
  • Duriau, Edouard, André, Marie, Louis
  • Tabery, Cyrus, Emil
  • Brunner, Timothy, Allan
  • Ausschnitt, Christopher, P.
  • Truffert, Vincent, Patrick, Thomas

Abstract

A method can include directing radiation toward at least two targets using an optical scanning system so as to generate first and second portions of scattered radiation. A first target can include a plurality of first grating line structures including features having a first bias value. A second target can include a plurality of second grating line structures including features having a second bias value. The method can include detecting the first and second portions of scattered radiation, generating a first measurement signal indicative of a first target position based on the first bias features, and generating a second measurement signal indicative of a second target position based on the second bias features. The method can include analyzing an effect of the first and second bias values on the first and second positions to determine at least one property of the set of targets.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/20 - Exposure; Apparatus therefor

66.

TARGET DELIVERY SYSTEM

      
Application Number 18512264
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Vaschenko, Georgiy Olegovich
  • Rollinger, Bob

Abstract

A target delivery system for an extreme ultraviolet (EUV) light source is disclosed. The system includes: a conduit including an orifice configured to fluidly couple to a reservoir; an actuator configured to mechanically couple to the conduit such that motion of the actuator is transferred to the conduit; and a control system coupled to the actuator, the control system being configured to: determine an indication of pressure applied to target material in the reservoir, and control the motion of the actuator based on the determined indication of applied pressure. Moreover, techniques for operating a supply system are disclosed. For example, one or more characteristics of the supply system are determined, and an actuator that is mechanically coupled to the supply system is controlled based on the one or more determined characteristics such that an orifice of the supply system remains substantially free of material damage during operational use.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

67.

Transport System Having a Magnetically Levitated Transportation Stage

      
Application Number 18515952
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner
  • Massachusetts Institute of Technology (USA)
  • ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhou, Lei
  • Trumper, David L.
  • Gunawardana, Ruvinda

Abstract

A reticle transport system having a magnetically levitated transportation stage is disclosed. Such a system may be suitable for use in vacuum environments, for example, ultra-clean vacuum environments. A magnetic levitated linear motor functions to propel the transportation stage in a linear direction along a defined axis of travel and to magnetically levitate the transportation stage

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors

68.

DATA PROCESSING DEVICE AND METHOD, CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18516020
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wieland, Marco Jan-Jaco
  • Kuiper, Vincent Sylvester

Abstract

A data processing device for detecting defects in sample images generated by a charged particle assessment system, the device comprising: an input module, a filter module, a reference image module and a comparator. The input module is configured to receive a sample image from the charged particle assessment system. The filter module is configured to apply a filter to the sample image to generate a filtered sample image. The reference image module is configured to provide a reference image based on one or more source images. The comparator is configured to compare the filtered sample image to the reference image so as to detect defects in the sample image.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

69.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023071435
Publication Number 2024/052012
Status In Force
Filing Date 2023-08-02
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • El Gawhary, Omar
  • Helfenstein, Patrick, Philipp
  • Van Rijswijk, Loes, Frederique
  • Geypen, Niels
  • Jonquiere, Hugo, Laurent
  • Invernizzi, Andrea
  • Cramer, Hugo, Augustinus, Joseph

Abstract

Disclosed is a method of determining at least one parameter of interest relating to a structure formed in at least one respective layer on a substrate, the method comprising: obtaining a measured metrology data relating to a measurement of the structure; obtaining a model, the model describing the structure in terms of a plurality of model parameters, the model parameters comprising estimated values; and performing in sequence a plurality of optimization steps, based on the measured metrology data, to determine the plurality of model parameters, each of the plurality of optimization steps determining a subset of the plurality of model parameters.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

70.

METHOD FOR MONITORING PROPER FUNCTIONING OF ONE OR MORE COMPONENTS OF A LITHOGRAPHY SYSTEM

      
Application Number EP2023072412
Publication Number 2024/052057
Status In Force
Filing Date 2023-08-14
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Goch, Bram, Paul, Theodoor
  • Van Der Maas, Richard, Jacobus, Rudolf

Abstract

Disclosed is a method for monitoring proper functioning of one or more components of a lithography system. The method comprises determining a frequency response function for each of said one or more components during production activity using the lithography system, at a time during said production activity when control requirements are relatively less stringent; evaluating each of said frequency response functions with respect to control data indicative of nominal lithographic system behavior; and predicting whether to perform a maintenance action on the lithography system based on said evaluating step.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

71.

A METHOD AND SYSTEM FOR PREDICTING ABERRATIONS IN A PROJECTION SYSTEM

      
Application Number 18262511
Status Pending
Filing Date 2022-01-05
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van De Wal, Marinus Maria Johannes
  • Van Berkel, Koos
  • Dolk, Victor Sebastiaan
  • Thissen, Stijn Clyde Natalia
  • Schneiders, Mauritius Gerardus Elisabeth
  • Koevoets, Adrianus Hendrik

Abstract

A method of predicting thermally induced aberrations of a projection system for projecting a radiation beam, the method comprising: calculating an irradiance profile for at least one optical element of the projection system from a power and illumination source pupil of the radiation beam, estimating a temperature distribution as a function of time in the at least one optical element of the projection system using the calculated irradiance profile for the at least one optical element of the projection system; calculating the thermally induced aberrations of the projection system based on the estimated temperature distribution and a thermal expansion parameter map associated with the at least one optical element of the projection system, wherein the thermal expansion parameter map is a spatial map indicating spatial variations of thermal expansion parameters in the at least one optical element of the projection system or a uniform map.

IPC Classes  ?

  • G01M 11/02 - Testing optical properties
  • G01K 1/02 - Means for indicating or recording specially adapted for thermometers
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

72.

OPERATION METHODS OF 2D PIXELATED DETECTOR FOR AN APPARATUS WITH PLURAL CHARGED-PARTICLE BEAMS AND MAPPING SURFACE POTENTIALS

      
Application Number 18269532
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wang, Yongxin
  • Krupin, Oleg
  • Ren, Weiming
  • Hu, Xuerang
  • Liu, Xuedong

Abstract

A method of detecting charged particles may include detecting beam intensity as a primary charged particle beam moves along a first direction; acquiring a secondary beam spot projection pattern as the primary charged particle beam moves along a second direction; and determining a parameter of a secondary beam spot based on the acquired secondary beam spot projection pattern. A method of compensating for beam spot changes on a detector may include acquiring a beam spot projection pattern on the detector, determining a change of the beam spot projection pattern, and adjusting a parameter of a detector cell of the detector based on the change. Another method may be provided for forming virtual apertures with respect to detector cells of a detector.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

73.

SYSTEM AND METHOD FOR DETECTING PARTICLES WITH A DETECTOR DURING INSPECTION

      
Application Number EP2023071272
Publication Number 2024/046685
Status In Force
Filing Date 2023-08-01
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Moon, Eunseong
  • Wang, Yongxin
  • Lai, Rui-Ling
  • Vessal, Farhang
  • Leng, Chongyang

Abstract

Systems, apparatuses, and methods include a detector including a detection element (400) including a portion of a silicon substrate (402) comprising: a front side (410) of the portion of the silicon substrate including a PIN diode that comprises a p-type region (404a) and an n-type region (403a); a back side (420) of the portion of the silicon substrate, opposite of the front side, comprising a substantially uniform surface; and a layer (421) on the back side of the portion of the silicon substrate; wherein: a region between the p-type region and the n-type region is configured to form a depletion region (407) when a reverse bias is applied between the p-type region (404a) and the n-type region (403a), and the PIN diode is configured to detect an electron that enters the back side of the portion of the silicon substrate and passes through the portion of the silicon substrate to the depletion region.

IPC Classes  ?

  • H01L 31/115 - Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
  • H01J 37/244 - Detectors; Associated components or circuits therefor

74.

METHOD FOR CONFIGURING A FIELD OF VIEW OF AN INSPECTION APPARATUS

      
Application Number EP2023071406
Publication Number 2024/046691
Status In Force
Filing Date 2023-08-02
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bastani, Vahid
  • Nechaev, Konstantin, Sergeevich
  • Anunciado, Roy
  • Van Der Sanden, Stefan, Cornelis, Theodorus

Abstract

Disclosed is method for configuring a field of view configuration of an inspection apparatus with respect to a pattern on a patterned substrate to be measured. The method comprises: obtaining metrology data comprising at least one parameter distribution across at least a portion of the pattern, the at least one parameter distribution relating to a respective one or more parameters of interest and/or respective one 5 or more proxy parameters for a parameter of interest; determining variation metric data quantifying an amount of variation captured within the parameter distribution when limited to the field of view for varied field of view configurations; and configuring the field of view configuration with respect to the pattern based on said variation metric data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

75.

MULTI-CHANNEL LIGHT SOURCE FOR PROJECTION OPTICS HEATING

      
Application Number 18273618
Status Pending
Filing Date 2021-12-30
First Publication Date 2024-03-07
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • ASML Holding N.V. (Netherlands)
Inventor
  • Van Bokhoven, Laurentius Johannes Adrianus
  • Ajgaonkar, Mahesh Upendra

Abstract

Systems, apparatuses, and methods are provided for heating a plurality of optical components. An example method can include receiving an input radiation beam from a radiation source. The example method can further include generating a plurality of output radiation beams based on the input radiation beam. The example method can further include transmitting the plurality of output radiation beams towards a plurality of heater head optics configured to heat the plurality of optical components. Optionally, the example method can further include controlling a respective power value, and realizing a flat-top far-field profile, of each of the plurality of output radiation beams.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

76.

SYSTEMS AND METHODS FOR THERMALLY CONDITIONING A WAFER IN A CHARGED PARTICLE BEAM APPARATUS

      
Application Number 18467642
Status Pending
Filing Date 2023-09-14
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Van Heumen, Martijn Petrus Christianus
  • Gosen, Jeroen Gerard

Abstract

An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including a thermal conditioning station for preconditioning a temperature of a wafer is disclosed. The charged particle beam apparatus may scan the wafer to measure one or more characteristics of the structures on the wafer and analyze the one or more characteristics. The charged particle beam apparatus may further determine a temperature characteristic of the wafer based on the analysis of the one or more characteristics of the structure and adjust the thermal conditioning station based on the temperature characteristic.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

77.

ASSESSMENT SYSTEM, METHOD OF ASSESSING

      
Application Number 18506923
Status Pending
Filing Date 2023-11-10
First Publication Date 2024-03-07
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

Assessment systems and methods are disclosed. In one arrangement, charged particles are directed in sub-beams arranged in a multi-beam towards a sample. A plurality of control electrodes define a control lens array. Each control lens in the control lens array is aligned with a sub-beam path of a respective sub-beam of the multi-beam and configured to operate on the respective sub-beam. A plurality of objective electrodes define an objective lens array that directs the sub-beams onto a sample. Objective lenses are aligned with a sub-beam path aligned with a respective control lens. Selectable landing energies are implemented for a sub-beam of the multi-beam by applying corresponding potentials to the control electrodes and the objective electrodes. A controller is configured to select corresponding potentials so a spatial relationship between an image plane of the system and all control electrodes and objective electrodes is the same for each selectable landing energy.

IPC Classes  ?

  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

78.

DOSE CONTROL IN AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Application Number EP2023073115
Publication Number 2024/046835
Status In Force
Filing Date 2023-08-23
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Huang, Merlin

Abstract

A dose controller is configured to: receive a measurement of an extreme ultraviolet (EUV) pulse energy created from an EUV interaction, the EUV interaction occurring between a target light pulse produced by a target light source and a target in an interaction region; receive an EUV pulse energy set-point associated with a desired EUV pulse energy for exposing a substrate; determine an interaction ratio based on the measured EUV pulse energy and the EUV pulse energy set-point, the interaction ratio defining a percentage of the average number of EUV interactions over a period of time; determine energy in one or more target light pulses supplied to the interaction region, the determined energy being based on the value of the interaction ratio and the measured EUV pulse energy; and provide the determined interaction ratio and the determined energy to the target light source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

79.

METROLOGY SYSTEM AND METHOD

      
Application Number EP2023070488
Publication Number 2024/041827
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yoon, Changsik
  • Koolen, Armand, Eugene, Albert
  • Hoogveld, Jasper, Niko, Maria
  • Joobeur, Adel
  • Zimmerman, Richard, Carl
  • Raub, Alexander, Kenneth
  • Jin, Yuwei
  • Cheng, Su-Ting
  • Tenner, Vasco, Tomas
  • Wei, Xukang
  • Gouteux, Louise, Karina, Laurie

Abstract

A system includes an illumination system, a scanning system, an optical system, a detector system, and a processor. The illumination system directs an optical beam to illuminate a target structure. The scanning system scans the optical beam and controls a size of a focal spot of the optical beam onto the target structure. The optical system maintains an alignment with an optical axis of the system during scanning of the optical beam. The detector system detects a signal beam generated from the target structure during scanning of the optical beam. The signal beam comprises at least a scattered beam generated from the target structure. The processor analyzes the detected signal beam to determine an overlay characteristic of the target structure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

80.

MODELLING OF MULTI-LEVEL ETCH PROCESSES

      
Application Number EP2023070661
Publication Number 2024/041831
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fan, Yongfa
  • Feng, Mu

Abstract

Disclosed are methods, systems, and computer software for predicting after-etch profiles of features at varying depths. A method can include accessing after-development resist profiles of features. The method can also include applying an etch bias model on the after-development resist profiles to obtain the after-etch profiles, where the etch bias model correlates an etch bias with an etch depth.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

81.

TRAINING MACHINE LEARNING MODELS BASED ON PARTIAL DATASETS FOR DEFECT LOCATION IDENTIFICATION

      
Application Number 18267734
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Moin, Nabeel Noor
  • Lin, Chenxi
  • Zou, Yi

Abstract

A method and apparatus for training a defect location prediction model to predict a defect for a substrate location is disclosed. A number of datasets having data regarding process-related parameters for each location on a set of substrates is received. Some of the locations have partial datasets in which data regarding one or more process-related parameters is absent. The datasets are processed to generate multiple parameter groups having data for different sets of process-related parameters. For each parameter group, a sub-model of the defect location prediction model is created based on the corresponding set of process-related parameters and trained using data from the parameter group. A trained sub-model(s) may be selected based on process-related parameters available in a candidate dataset and a defect prediction may be generated for a location associated with the candidate dataset using the selected sub-model.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 20/20 - Ensemble learning

82.

DUAL FOCUS SOLUTON FOR SEM METROLOGY TOOLS

      
Application Number 18270707
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Bosch, Niels Johannes Maria
  • Wang, Xu
  • Hempenius, Peter Paul
  • Wang, Yongqiang
  • Butler, Hans
  • Wang, Youjin
  • Grasman, Jasper Hendrik
  • Sui, Jianzi
  • Chen, Tianming
  • Wu, Aimin

Abstract

There is provided a charged particle apparatus comprising: a particle beam generator, optics, a first and a second positioning device, both configured for positioning the substrate relative to the particle beam generator along its optical axis, and a controller configured for switching between a first operational mode and a second operational mode. The apparatus is configured, when operating in the first operational mode, for irradiating the substrate by the particle beam at a first landing energy of the particle beam and, when operating in the second operational mode, for irradiating the substrate at a second, different landing energy. When operating in the first operational mode, the second positioning device is configured to position the substrate relative to the particle beam generator at a first focus position of the particle beam and in the second operational mode, to position the substrate at a second, different focus position.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support

83.

DATA FILTER FOR SCANNING METROLOGY

      
Application Number 18280266
Status Pending
Filing Date 2022-02-21
First Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Caresio, Cristina
  • Kinyanjui, Tabitha Wangari
  • Rogachevskiy, Andrey Valerievich
  • Knarren, Bastiaan Andreas Wilhelmus Hubertus
  • Centeno, Raymund
  • Den Boer, Jan Arie
  • Trogrlic, Viktor

Abstract

A method of processing a data set including equispaced and/or non-equispaced data samples is disclosed. The method includes filtering of the data, wherein a kernel defined by a probability density function is convoluted over samples in the data set to perform a weighted average of the samples at a plurality of positions across the data set, and wherein a first order regression is applied to the filtered data to provide a processed data output.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

84.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18384791
Status Pending
Filing Date 2023-10-27
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

The embodiments of the present disclosure provide a charged particle assessment system comprising: a sample holder configured to hold a sample having a surface; a charged particle-optical device configured to project a charged particle beam towards the sample, the charged particle beam having a field of view corresponding to a portion of the surface of the sample, the charged particle-optical device having a facing surface facing the sample holder; and a projection assembly arranged to direct a light beam along a light path such that the light beam reflects off the facing surface up-beam, with respect to the light path, of being incident on the portion of the surface of the sample.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support
  • H01J 37/244 - Detectors; Associated components or circuits therefor

85.

APPARATUS USING MULTIPLE BEAMS OF CHARGED PARTICLES

      
Application Number 18477213
Status Pending
Filing Date 2023-09-28
First Publication Date 2024-02-29
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Hu, Xuerang
  • Ren, Weiming
  • Liu, Xuedong
  • Chen, Zhong-Wei

Abstract

Disclosed herein is an apparatus comprising: a first electrically conductive layer, a second electrically conductive layer; a plurality of optics element s between the first electrically conductive layer and the second electrically conductive layer, wherein the plurality of optics elements are configured to influence a plurality of beams of charged particles; a third electrically conductive layer between the first electrically conductive layer and the second electrically conductive layer; and an electrically insulating layer physically connected to the optics elements, wherein the eclectically insulating layer is configured to electrically insulate the optics elements from the first electrically conductive layer, and the second electrically conductive layer.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/12 - Lenses electrostatic
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes

86.

MODULAR AUTOENCODER MODEL FOR MANUFACTURING PROCESS PARAMETER ESTIMATION

      
Application Number 18259354
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Tiemersma, Bart Jacobus Martinus
  • Verheul, Nick
  • Dirks, Remco

Abstract

A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06N 3/0455 - Auto-encoder networks; Encoder-decoder networks
  • G06N 3/08 - Learning methods

87.

A METROLOGY APPARATUS AND A METROLOGY METHOD

      
Application Number 18268847
Status Pending
Filing Date 2021-12-16
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Raaymakers, Jeroen Arnoldus Leonardus Johannes
  • Lomans, Bram Antonius Gerardus
  • Den Boef, Arie Jeffrey
  • Butler, Hans

Abstract

A metrology apparatus for measuring a parameter of interest of a target on a substrate, the metrology apparatus including: m×n detectors, wherein m≥1 and n≥1; a first frame; and (n−1) second frames; and (m−1)×n intermediate frames, wherein each detector is connected to one of the intermediate or first or second frames via a primary positioning assembly; and each intermediate frame is connected to one of the first or second frames via a secondary positioning assembly.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

88.

AN INTERFEROMETER SYSTEM, POSITIONING SYSTEM, A LITHOGRAPHIC APPARATUS, A JITTER DETERMINATION METHOD, AND A DEVICE MANUFACTURING METHOD

      
Application Number 18269547
Status Pending
Filing Date 2021-12-12
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Jansen, Maarten Jozef

Abstract

An interferometer system includes an optics system configured to allow a first light beam to travel along a measurement path including a target, and a second light beam to travel along a fixed reference path excluding the target; and a signal generator configured to introduce a power-modulated optical signal in the measurement path or the reference path to determine jitter caused by components of the interferometer system downstream of the signal generator.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01B 9/02003 - Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using beat frequencies
  • G01B 9/02055 - Reduction or prevention of errors; Testing; Calibration

89.

METROLOGY METHODS AND APPARATUSES

      
Application Number 18270644
Status Pending
Filing Date 2021-12-23
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Theeuwes, Thomas
  • Wildenberg, Jochem Sebastiaan
  • Zhang, Lei
  • Van Ithersum, Ronald

Abstract

Disclosed is a method of determining a performance parameter or a parameter derived therefrom, the performance parameter being associated with a performance of a lithographic process for forming one or more structures on a substrate subject to the lithographic process. The method comprises obtaining a probability description distribution comprising a plurality of probability descriptions of the performance parameter, each probability description corresponding to a different position on the substrate and decomposing each probability description into a plurality of component probability descriptions to obtain a plurality of component probability description distributions. A component across-substrate-area model is determined for each of said plurality of component probability descriptions, which models its respective component probability description across a substrate area; and a value for said performance parameter or parameter derived therefrom is determined based on the component across-substrate-area models.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

90.

METHOD TO STABILIZE A WAVELENGTH OF A TUNABLE LASER DEVICE, TUNABLE LASER DEVICE, AND POSITION MEASUREMENT SYSTEM PROVIDED WITH THE TUNABLE LASER DEVICE

      
Application Number EP2023069432
Publication Number 2024/037799
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jansen, Maarten, Jozef
  • Klarenbeek, Eduard, Martinus

Abstract

A wavelength of a tunable laser device is stabilized by providing a laser beam from a tunable laser source to an interferometer having a stable reference axis and to a gas absorption cell, scanning the laser beam between first and second wavelengths to determine absorption cell transmission spectrum as a function of wavelength difference; using the interferometer to determine phase change as a function of the wavelength difference; determining a transmission spectrum as a function of the phase change using the gas absorption cell transmission spectrum and phase change as a function of wavelength difference; correlating the determined transmission spectrum as a function of phase change to a pre-calibrated transmission spectrum of the tunable laser device to provide absolute laser wavelength as a function of the phase change; defining phase setpoint corresponding to wavelength setpoint; using the phase setpoint to tune the tunable laser device to the wavelength setpoint.

IPC Classes  ?

  • H01S 3/13 - Stabilisation of laser output parameters, e.g. frequency or amplitude
  • G01J 9/02 - Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength by interferometric methods

91.

A CONDITIONING SYSTEM, ARRANGEMENT AND METHOD

      
Application Number EP2023069502
Publication Number 2024/037801
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Gattobigio, Giovanni, Luca
  • Lau, Yuk Man
  • Park, Sang-Myung

Abstract

Disclosed herein is a stand-alone conditioning system for a fluid handling structure of a lithographic apparatus, comprising: an inspection system configured to inspect the fluid handling structure and to determine one or more different types of conditioning to be performed on a major surface of the fluid handling structure; and a conditioning device configured to perform the determined one or more different types of conditioning on the major surface of the fluid handling structure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

92.

SUPPRESSING SPECULAR REFLECTION OF MASK ABSORBER AND ON- RESOLUTION FIELD STITCHING

      
Application Number EP2023070498
Publication Number 2024/037837
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Slachter, Abraham
  • Van Lare, Marie-Claire
  • Mcnamara, John, Martin
  • Engblom, Peter, David
  • Van Setten, Eelco

Abstract

Described a method and system for generating a mask pattern design for use in imaging of a pattern on a substrate using a lithographic apparatus. The methods include identifying an area located between two adjacent exposure fields in a lithography process, and determining a placement and a geometry of a sub-resolution feature to be placed in the area of an absorber layer of the mask pattern design based on a prescribed background intensity criterion. The geometrical parameters, such as a pitch and critical dimension (CD) of the sub-resolution feature, and placement parameters such as overlay parameter and line end distance are determined for assisting in designing and positioning the sub-resolution feature in the absorber layer to minimize specular reflection from the absorber layer. The placement parameters may also assist in stitching images of adjacent exposure fields.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

93.

DATA-DRIVEN PREDICTION AND IDENTIFICATION OF FAILURE MODES BASED ON WAFER-LEVEL ANALYSIS AND ROOT CAUSE ANALYSIS FOR SEMICONDUCTOR PROCESSING

      
Application Number 18268924
Status Pending
Filing Date 2021-12-09
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Xu, Huina
  • Matsushita, Yana
  • Hasan, Tanbir
  • Kou, Ren-Jay
  • Goel, Namita Adrianus
  • Li, Hongmei
  • Pisarenco, Maxim
  • Kooiman, Marleen
  • Batistakis, Chrysostomos
  • Onvlee, Johannes

Abstract

A method and apparatus for analyzing an input electron microscope image of a first area on a first wafer are disclosed. The method comprises obtaining a plurality of mode images from the input electron microscope image corresponding to a plurality of interpretable modes. The method further comprises evaluating the plurality of mode images, and determining, based on evaluation results, contributions from the plurality of interpretable modes to the input electron microscope image. The method also comprises predicting one or more characteristics in the first area on the first wafer based on the determined contributions. In some embodiments, a method and apparatus for performing an automatic root cause analysis based on an input electron microscope image of a wafer are also disclosed.

IPC Classes  ?

94.

MACHINE LEARNING-BASED SYSTEMS AND METHODS FOR GENERATING SYNTHETIC DEFECT IMAGES FOR WAFER INSPECTION

      
Application Number 18268953
Status Pending
Filing Date 2021-12-08
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Wang, Zhe
  • Yu, Liangjiang
  • Pu, Lingling

Abstract

An improved systems and methods for generating a synthetic defect image are disclosed. An improved method for generating a synthetic defect image comprises acquiring a machine learning-based generator model; providing a defect-free inspection image and a defect attribute combination as inputs to the generator model; and generating by the generator model, based on the defect-free inspection image, a predicted synthetic defect image with a predicted defect that accords with the defect attribute combination.

IPC Classes  ?

95.

MODULAR AUTOENCODER MODEL FOR MANUFACTURING PROCESS PARAMETER ESTIMATION

      
Application Number 18270074
Status Pending
Filing Date 2021-12-20
First Publication Date 2024-02-22
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Tiemersma, Bart Jacobus Martinus
  • Onose, Alexandru
  • Verheul, Nick
  • Dirks, Remco

Abstract

A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 3/0455 - Auto-encoder networks; Encoder-decoder networks
  • G06N 3/0895 - Weakly supervised learning, e.g. semi-supervised or self-supervised learning

96.

METHOD FOR DETERMINING A FOCUS ACTUATION PROFILE FOR ONE OR MORE ACTUATORS OF A LITHOGRAPHIC EXPOSURE APPARATUS

      
Application Number 18270988
Status Pending
Filing Date 2021-12-24
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Gorp, Simon Hendrik Celine
  • Van Reenen, Stephan

Abstract

A method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus in control of a lithographic exposure process for exposure of an exposure area including at least two topographical levels. The method includes determining a continuous single focus actuation profile for the at least two topographical levels from an objective function including a per-level component operable to optimize a focus metric per topographical level for each of the at least two topographical levels.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

97.

SUPERCONTINUUM RADIATION SOURCE AND ASSOCIATED METROLOGY DEVICES

      
Application Number 18373421
Status Pending
Filing Date 2023-09-27
First Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bauerschmidt, Sebastian Thomas
  • Götz, Peter Maximilian
  • Uebel, Patrick Sebastian

Abstract

A supercontinuum radiation source including a modulator being operable to modulate pump laser radiation including a train of radiation pulses to provide modulated pump laser radiation, the modulation being such to selectively provide a burst of the pulses; and a hollow-core photonic crystal fiber being operable to receive the modulated pump laser radiation and excite a working medium contained within the hollow-core photonic crystal fiber so as to generate supercontinuum radiation.

IPC Classes  ?

  • G02F 1/35 - Non-linear optics
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • H01S 3/00 - Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
  • H01S 3/067 - Fibre lasers

98.

METHOD FOR RADIATION SPECTRUM AWARE SOUCE MASK OPTIMIZATION FOR LITHOGRAPHY

      
Application Number EP2023071100
Publication Number 2024/037859
Status In Force
Filing Date 2023-07-29
Publication Date 2024-02-22
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • CYMER, LLC (USA)
Inventor
  • Conley, Willard, Earl
  • Crouse, Michael, Matthew
  • Kaplan, Christopher, James
  • Counts, Tami, Lynn
  • Plachecki, Vincent, Edward
  • Thornes, Joshua, Jon

Abstract

Photolithography. Perform source mask optimization SMO for a plurality of laser spectra. Generate training data by determining for each optimized source-mask-combination performance metrics such as EPE, CDU, LER, LWR, DOF, NILS for the different laser spectra. Train a machine learning ML model to determine an optimal laser spectrum based on the generated training data. Predict optimal spectra for production design layouts using the trained ML model.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

99.

CLASSIFYING PRODUCT UNITS

      
Application Number EP2023069419
Publication Number 2024/037797
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koulierakis, Eleftherios
  • Gonzalez Huesca, Juan Manuel
  • Smal, Pavel
  • Aarden, Frans, Bernard
  • Ravichandran, Arvind
  • Dou, Meng
  • Hubaux, Arnaud
  • Van Hertum, Pieter

Abstract

One embodiment relates to a method of classifying product units subject to a process performed by an apparatus, the method comprising: receiving KPI data, the KPI data associated with a plurality of components of the apparatus and comprising data associated with a plurality of KPIs; clustering the KPI data to identify a plurality of clusters; analyzing the plurality of clusters to identify a plurality of failure modes associated with the apparatus, for each identified failure mode assigning a threshold to each KPI associated with the failure mode; and for each of the plurality of product units: determining the likelihood of each of the plurality of failure modes based on KPI data of the product unit and the thresholds assigned to each KPI associated with one of the plurality of failure modes; and performing a classification based on the likelihoods of each of the plurality of failure modes.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

100.

METHOD AND APPARATUS FOR CONTACTLESS INSPECTION OF A SUBSTRATE

      
Application Number EP2023070464
Publication Number 2024/037834
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ravichandran, Arvind
  • Parayil Venugopalan, Syam

Abstract

A device (201) for inspecting a conductive pattern (202) on a substrate (200) includes a plurality of sensor plates (204), a table configured and arranged to support the substrate, a voltage source (208), configured to generate an electric field between the sensor plates and the conductive pattern on the substrate, an actuator (206), configured to move the sensor plates relative to the substrate, a controller (210), the controller configured and arranged to identify regions having defect on the basis of changes in capacitance between the sensor plates and the substrate as the sensor plates are moved relative to the substrate.

IPC Classes  ?

  • G01R 31/312 - Contactless testing by capacitive methods
  1     2     3     ...     69        Next Page