ASML Netherlands B.V.

Netherlands

Back to Profile

1-100 of 2,729 for ASML Netherlands B.V. Sort by
Query
Patent
World - WIPO
Excluding Subsidiaries
Aggregations Reset Report
Date
New (last 4 weeks) 33
2024 April (MTD) 20
2024 March 21
2024 February 34
2024 January 35
See more
IPC Class
G03F 7/20 - Exposure; Apparatus therefor 1,888
G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically 305
H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma 255
G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor 129
G01N 21/956 - Inspecting patterns on the surface of objects 122
See more
Found results for  patents
  1     2     3     ...     28        Next Page

1.

CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Application Number EP2023075534
Publication Number 2024/078821
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Weperen, Ilse

Abstract

A charged particle assessment system comprising: a charged-particle beam apparatus configured to direct a charged particle beam onto a sample so that secondary particles and backscattered particles are generated in response to the charged particle beam; an array of sensing elements configured to generate electrical signals in response to incident secondary particles or backscattered particles from the sample; and a controller configured to selectively activate a first subset (333) of the set of sensing elements, to selectively deactivate a second subset (332) of the set of sensing elements and to combine the electrical signals of the selected subset into a detector output signal, wherein the selective activation and selective deactivation are based on a predicted distribution of secondary particles or backscattered particles. The application also concerns a non-transitory computer-readable medium including a set of instructions that is executable by one or more processors of a controller to cause the controller control a charged particle assessment system to perform a corresponding method of configuring a detector having an array of sensing elements.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

2.

AN ABERRATION CORRECTION OPTICAL SYSTEM

      
Application Number EP2023075254
Publication Number 2024/078813
Status In Force
Filing Date 2023-09-14
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tukker, Teunis, Willem
  • Van Voorst, Peter, Danny
  • Zhou, Zili
  • Van Greevenbroek, Hendrikus, Robertus, Marie

Abstract

An optical arrangement for aberration correction, comprising: a beam dispersing element for spatially dispersing a broadband radiation beam in a first transverse direction; a focusing lens for focusing the broadband radiation beam subsequently to said dispersing, wherein said focusing lens is arranged such that the dispersed broadband radiation beam passes through at least one off-center position of the focusing lens in at least one pass, wherein said off-center position is a position displaced from a center of the focusing lens in a second transverse direction, wherein the first transverse direction and the second transverse direction are mutually perpendicular and parallel to a focal plane of the focusing lens; and at least one aberration compensating lens displaced in the second transverse direction with respect to at least part of the broadband radiation beam so as to substantially compensate for lateral chromatic aberration.

IPC Classes  ?

  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 5/18 - Diffracting gratings
  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
  • G02B 27/10 - Beam splitting or combining systems
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

3.

SUBSTRATE SUPPORT QUALIFICATION

      
Application Number EP2023074956
Publication Number 2024/078802
Status In Force
Filing Date 2023-09-12
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Berendsen, Christianus, Wilhelmus, Johannes
  • Van Oene, Maarten, Marinus
  • Rops, Cornelius, Maria
  • Ammerlaan, Johannes, Andreas, Maria
  • Gattobigio, Giovanni, Luca

Abstract

Disclosed herein is a stand-alone qualification system for determining at least one operating characteristic of a fluid extraction system of a substrate support, the qualification system comprising: an extraction support system configured to support a two-phase fluid extraction by the fluid extraction system; and a measurement system configured to determine at least one operating characteristic of the fluid extraction system in dependence on the two-phase fluid extraction.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

4.

INSPECTION SYSTEMS USING METASURFACE AND INTEGRATED OPTICAL SYSTEMS FOR LITHOGRAPHY

      
Application Number EP2023075424
Publication Number 2024/078818
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jahani, Saman
  • Van Engelen, Jorn, Paul
  • Rezvani Naraghi, Roxana
  • Setija, Irwan, Dani

Abstract

An inspection system includes an integrated optical system with a substrate, waveguide system, and first and second grating couplers disposed on the substrate, first and second detectors, and a micro-structured illumination adjuster. The integrated optical system receives first through fourth portions of illuminations scattered from a target having corresponding first through fourth wavelengths. The first through fourth grating couplers launch the first through fourth portions into the waveguide system. The first and second wavelengths are different from the third and fourth wavelengths. The first detector receives a combination of the first and second portions to generate a first measurement signal. The second detector receives a combination of the third and fourth portions to generate a second measurement signal. The micro-structured illumination adjuster includes first through fourth micro-structured regions to direct the first through fourth portions to corresponding ones of the first through fourth grating couplers.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 6/00 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

5.

METHOD FOR OPERATING A DETECTION SYSTEM OF A METROLOGY DEVICE AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023074551
Publication Number 2024/078792
Status In Force
Filing Date 2023-09-07
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Der Post, Sietse, Thijmen
  • Scherjon, Martinus Paulus, Maria

Abstract

Disclosed is a method of reading out a detection arrangement, said detection arrangement defining a detection area in terms of plurality of pixels. The method comprises receiving scattered radiation on said detection arrangement; dividing the detection area into at least two different regions of interest based at least on a measurement parameter of said scattered radiation; and employing a respective different readout scheme for each said regions of interest, so as to read out said detection arrangement.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H04N 25/443 - Extracting pixel data from image sensors by controlling scanning circuits, e.g. by modifying the number of pixels sampled or to be sampled by partially reading an SSIS array by reading pixels from selected 2D regions of the array, e.g. for windowing or digital zooming

6.

ELECTROSTATIC CLAMP WITH A STRUCTURED ELECTRODE BY POST BOND STRUCTURING

      
Application Number EP2023075913
Publication Number 2024/078830
Status In Force
Filing Date 2023-09-20
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Eden, Gustaaf, Galein
  • Raaymakers, Jeroen, Arnoldus, Leonardus, Johannes
  • Uitterdijk, Tammo

Abstract

Disclosed herein are embodiments that relate to an electrostatic wafer clamps and methods for forming and modifying electrode structures for electrostatic wafer clamps. Wafer clamps include electrode structures in a dielectric layer with a plurality of burls interconnected via grounding lines. By modifying the electrode structures near the grounding lines by post bond structuring or the like, the electric field can be reduced, resulting in lower cycle inducing charging.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

7.

MIRROR LAYER AND MIRROR FOR A LITHOGRAPHIC APPARATUS

      
Application Number EP2023077038
Publication Number 2024/078895
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Houweling, Zomer, Silvester
  • Donmez Noyan, Inci

Abstract

There is provided a mirror layer for a lithographic apparatus comprising at least one element which forms a chemical bond with silicon having a bond dissociation energy of at least 447 kJ mol-1. Also provided is a method of manufacturing such a mirror layer, a mirror including a mirror layer described herein, and a lithographic apparatus comprising such a mirror layer or mirror. Also described is the use of molybdenum silicon sulphide, oxide, selenide, or fluoride in a mirror layer or mirror and the use of such a mirror layer or mirror in a lithographic apparatus or method.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

8.

ELECTRON-OPTICAL ELEMENT

      
Application Number EP2023077260
Publication Number 2024/078912
Status In Force
Filing Date 2023-10-02
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koning, Johan, Joost
  • Del Tin, Laura

Abstract

A charged particle-optical element for a charged particle-optical module configured to direct charged particles along at least one beam path, the charged particle-optical element comprising: a substrate comprising at least one aperture for passage therethrough of the at least one beam path; at least one electronic component so as to provide a component surface of the substrate; and an electrical connector electrically connected to the at least one electronic component and extending through the substrate; wherein the substrate comprises a thicker portion and a thinner portion that is thinner than the thicker portion, and the electrical connector extends through the thinner portion.

IPC Classes  ?

  • H01J 37/24 - Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
  • H01J 37/244 - Detectors; Associated components or circuits therefor

9.

ALIGNMENT OF ELECTRON-OPTICAL ELEMENTS

      
Application Number EP2023077229
Publication Number 2024/078910
Status In Force
Filing Date 2023-10-02
Publication Date 2024-04-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Langen, Johannes, Cornelis, Jacobus
  • Koning, Johan, Joost
  • Scheffers, Paul, Ijmert
  • Del Tin, Laura
  • Steunebrink, Martin

Abstract

A stack of planar elements for a charged particle-optical module configured to project charged particles along a beam path, the stack comprising: a pair of adjoining planar elements arranged across the beam path, wherein one of the planar elements comprises an alignment fiducial and the other of the planar elements comprises a monitoring aperture; wherein the pair of planar elements are positioned relative to each other such that the alignment fiducial and the monitoring aperture are aligned with each other in a direction substantially perpendicular to a plane of the planar elements.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/09 - Diaphragms; Shields associated with electron- or ion-optical arrangements; Compensation of disturbing fields

10.

METHODS AND SYSTEM FOR DETERMINING ABERRATIONS OF A PROJECTION SYSTEM

      
Application Number EP2023075234
Publication Number 2024/074276
Status In Force
Filing Date 2023-09-14
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Geelen, Paul, Jean, Maurice

Abstract

Methods, and corresponding systems for, determining one or more aberrations of a projection system (for example a projection system of a lithographic apparatus) are disclosed. One method comprises performing a phase stepping or phase scanning process using a first patterning device (at object level) that comprises a specular diffraction grating. Also disclosed is a calibration method for determining calibration data which characterizes any differences between: aberrations of a projection system determined using a diffusive grating at object level and aberrations of a projection system determined using a specular grating at object level.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 7/20 - Exposure; Apparatus therefor
  • G01M 11/02 - Testing optical properties
  • G01B 9/02098 - Shearing interferometers
  • G01J 9/02 - Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength by interferometric methods
  • G01M 11/00 - Testing of optical apparatus; Testing structures by optical methods not otherwise provided for

11.

ELECTRON-OPTICAL MODULE

      
Application Number EP2023075609
Publication Number 2024/074292
Status In Force
Filing Date 2023-09-18
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Langen, Johannes, Cornelis, Jacobus
  • Koning, Johan, Joost
  • Del Tin, Laura
  • Doesburg, Olivier, Jacob
  • Zijl, Gomaar

Abstract

A charged particle-optical module (41) for directing charged particles along a path towards a sample location, the charged particle-optical module comprises: a plurality of planar elements or electrodes (61-64) arranged across the path and configured to operate on the charged particles; a thermal conditioning channel 80 spaced from the planar elements in a direction through the plurality of elements; and a thermally conductive plate (61-64;240;75) connected to the thermal conditioning channel for transferring heat towards the thermal conditioning channel; wherein the thermally conductive plate extends between the planar elements and the thermal conditioning channel in a direction parallel to one or more of the planar elements.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/12 - Lenses electrostatic

12.

METHOD AND APPARATUS FOR CONTROLLING A LITHOGRAPHIC APPARATUS, AND A LITHOGRAPHIC APPARATUS

      
Application Number EP2023074387
Publication Number 2024/074255
Status In Force
Filing Date 2023-09-06
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Jin, Wenjie

Abstract

A computer-implemented method of generating one or more control actions for controlling a lithographic apparatus. The lithographic apparatus comprises an illumination system for illuminating a mask with a non-uniform radiation beam. The illumination system is configured to receive from a radiation source a radiation beam, and comprising a beam-shaping device configured to receive data specifying profile information, and shape a transverse profile of the radiation beam based on the profile information to form the non-uniform radiation beam. The method comprises processing the profile information to generate an estimated diffraction pattern produced by illuminating the mask with the non-uniform radiation beam, and processing the estimated diffraction pattern to generate one or more control actions for a control system of the lithographic apparatus.

IPC Classes  ?

13.

TUNABLE OPTICAL SYSTEM

      
Application Number EP2023075460
Publication Number 2024/074286
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abstract

Systems and methods for providing variable spot size and variable focus at a substrate are described. Sets of variable focal length lenses can be added to an alignment system to allow for adjustment of the spot size and focus. A variable focal length lens is a liquid lens that is tunable based on application of voltage across the lens. Toggling the voltage changes the water-oil interface in the liquid lens, which in turn changes the direction of light passing through. For example, turning on the voltage across the lens shifts the light output direction to converging at a focal point. As a result, variable focal length lenses provide adjustment to compensate for the fixed spot size and focus shortcomings of the prior art. Furthermore, variable focal length lenses can also be applied to compensate for spot shift and higher order diffraction orders.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

14.

ADC CALIBRATION FOR MICROSCOPY

      
Application Number EP2023076057
Publication Number 2024/074314
Status In Force
Filing Date 2023-09-21
Publication Date 2024-04-11
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Mook, Hindrik, Willem
  • Dave, Dhara
  • Stylianou, Antri
  • Beugin, Vincent, Claude
  • Brandt, Pieter, Lucas
  • Martinez Negrete Gasque, Diego

Abstract

A method of calibrating analog-to-digital converters, ADCs, of a charged particle-optical device comprises: providing, for each of the ADCs, image data of charged particles detected from a sample output by the ADC; calculating, for each of the ADCs, at least one statistical value from a distribution of the image data output by the ADC; and changing at least one setting of at least one of the ADCs based on the calculated at least one statistical values so as to compensate for any mismatch between the at least one statistical value of the ADCs.

IPC Classes  ?

  • H03M 1/10 - Calibration or testing
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

15.

COOLING DEVICE FOR COOLING A POSITION-SENSITIVE COMPONENT OF A LITHOGRAPHY SYSTEM

      
Application Number EP2023072998
Publication Number 2024/068138
Status In Force
Filing Date 2023-08-22
Publication Date 2024-04-04
Owner
  • CARL ZEISS SMT GMBH (Germany)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fetzer, Matthias
  • Mettenleiter, Luca
  • Steijns, Richard

Abstract

A cooling device (200) for cooling a position-sensitive component (102) of a lithography system (1), comprising a cooling line (206) with a liquid chamber (218) for conducting a cooling liquid (112) to the position-sensitive component (102) and a gas chamber (220) for receiving a gas (222), and an elastic separating membrane (224) which is arranged inside the cooling line (206) and separates the gas chamber (220) from the liquid chamber (218).

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G02B 7/18 - Mountings, adjusting means, or light-tight connections, for optical elements for mirrors
  • G02B 27/64 - Imaging systems using optical elements for stabilisation of the lateral and angular position of the image

16.

PARAMETERIZED INSPECTION IMAGE SIMULATION

      
Application Number EP2023075167
Publication Number 2024/068280
Status In Force
Filing Date 2023-09-13
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yuan, Rui
  • Fan, Chi-Hsiang
  • Chang, Yi-Hsin
  • Wang, Fuming
  • Lin, Yun
  • Elmalk, Abdalmohsen

Abstract

An improved method, apparatus, and system for generating a simulated inspection image are disclosed. According to certain aspects, the method comprises acquiring design data including a first pattern, generating a first gray level profile corresponding to the design data, and rendering an image using the generated first gray level profile.

IPC Classes  ?

17.

SCANNING ELECTRON MICROSCOPY (SEM) BACK-SCATTERING ELECTRON (BSE) FOCUSED TARGET AND METHOD

      
Application Number EP2023076085
Publication Number 2024/068426
Status In Force
Filing Date 2023-09-21
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fu, Jiyou
  • Tabery, Cyrus, Emil
  • Gaury, Benoit, Herve

Abstract

A method for evaluating a scanning electron microscope (SEM) system is provided, comprising accessing an SEM image of two or more sets of overlay targets, wherein each set of overlay targets comprises buried features and top features, the buried features at a buried depth, wherein, in at least one of the two or more sets of overlay targets, the top features are recessed, each of the recesses having a corresponding recess depth, wherein the recess depths for the top features of the two or more sets of overlay targets are different; and determining a beam tilt angle of a SEM system based on the SEM image of the two or more sets of overlay targets.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube

18.

CHARGED PARTICLE APPARATUS

      
Application Number EP2023074917
Publication Number 2024/068252
Status In Force
Filing Date 2023-09-11
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kuiper, Vincent, Sylvester
  • Scotuzzi, Marijke

Abstract

The present invention provides a charged particle apparatus for projecting multiple beam grids of charged particle beams towards a plurality of samples. The apparatus comprises: a stage configured to support a plurality of samples at respective sample positions; and an array of charged particle devices respectively configured to project a plurality of charged particle beams in a beam grid towards the respective the sample positions. The charged particle devices respectively comprise: an objective lens configured to direct the beam grid of the charged particle device on a sample at the respective sample position; and a detector configured to detect signal particles from the sample. The stage is configured to be actuated relative to the array of charged particle devices. The stage and the array of charged particle devices are configured such that the array of charged particle devices scan relative to the plurality of samples simultaneously.

IPC Classes  ?

  • H01J 37/20 - Means for supporting or positioning the object or the material; Means for adjusting diaphragms or lenses associated with the support

19.

TUNABLE OPTICAL SYSTEM

      
Application Number EP2023075356
Publication Number 2024/068297
Status In Force
Filing Date 2023-09-14
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abstract

Systems and methods for providing variable spot size and variable focus at a substrate are described. Sets of variable focal length lenses can be added to an alignment system to allow for adjustment of the spot size and focus. A variable focal length lens is a liquid lens that is tunable based on application of voltage across the lens. Toggling the voltage changes the water-oil interface in the liquid lens, which in turn changes the direction of light passing through. For example, turning on the voltage across the lens shifts the light output direction to converging at a focal point. As a result, variable focal length lenses provide adjustment to compensate for the fixed spot size and focus shortcomings of the prior art. Furthermore, variable focal length lenses can also be applied to compensate for spot shift and higher order diffraction orders.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

20.

SYSTEMS FOR PATH COMPENSATION WITH A MOVING OBJECTIVE

      
Application Number EP2023075422
Publication Number 2024/068308
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-04
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Cappelli, Douglas, C.

Abstract

Disclosed is a mirror set having a first mirror, a second mirror, and a movable stage to which the mirror set is mounted to cause the first mirror and the second mirror to move together with the movable stage. The first mirror is configured to receive a beam at a first angle from an axis of the mirror set and the second mirror is configured to provide the beam at a second angle from the axis of the mirror set, the beam providing an output after reflection by the second mirror. Movement of the mirror set parallel to the axis results in a parallel shift of the output along the beam movement of the mirror set perpendicular to the axis results in a perpendicular shift of the output perpendicular to the beam.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G02B 26/08 - Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
  • G02B 26/10 - Scanning systems

21.

READOUT DESIGN FOR CHARGED PARTICLE COUNTING DETECTORS

      
Application Number EP2023073279
Publication Number 2024/061566
Status In Force
Filing Date 2023-08-24
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Yongxin
  • Studulski, Steven Michael

Abstract

A charged particle beam detector may include a plurality of sensing elements, each sensing element being connected to a dedicated array of signal detection cells. Each signal detection cell may include a solid state current controlling device configured to rapidly extract charge from the sensing element during a detection event. Each signal detection cell may further include a simplified architecture that automatically enables the next signal detection cell once a valid detection happens. The detection cell may store the detection signal until a controller is ready to read the signal out. Thus, each detection cell may be enabled in rapid succession to receive and store a signal with a simple and robust architecture.

IPC Classes  ?

  • G01T 1/17 - Circuit arrangements not adapted to a particular type of detector
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors

22.

OPTICAL ALIGNMENT SYSTEM AND METHOD

      
Application Number EP2023073434
Publication Number 2024/061572
Status In Force
Filing Date 2023-08-25
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van De Kerkhof, Marcus, Adrianus

Abstract

An optical alignment system comprising an illumination system configured to condition a radiation beam to form a first off-axis monopole, a marker configured to diffract the first off-axis monopole to form zeroth and first diffraction orders, a projection system configured to collect the zeroth and first diffraction orders and form an image of the marker, and a sensor apparatus configured to detect the image of the marker.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

23.

SYSTEM AND METHOD FOR IMAGE DISTURBANCE COMPENSATION

      
Application Number EP2023074093
Publication Number 2024/061596
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Luo, Ying
  • Dong, Zhonghua

Abstract

Systems, apparatuses, and methods include a generating a reference signal based on a power input for an imaging system; generating a scan signal indicating a scan of a sample; extracting, from an image generated from the scan, first and second disturbance data; generating a calibrated frequency, magnitude, and phase of the image disturbance using the extracted first and second disturbance data, the reference signal, and the scan signal; combining the calibrated frequency, magnitude, and phase of the image disturbance and the reference signal to generate a compensation signal; and using the compensation signal to control a plurality of deflection drivers used for manipulating charged particles to scan the sample.

IPC Classes  ?

  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes

24.

SYSTEM AND METHOD FOR IMAGE RESOLUTION CHARACTERIZATION

      
Application Number EP2023074498
Publication Number 2024/061632
Status In Force
Filing Date 2023-09-06
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Luo, Xinan

Abstract

Systems, apparatuses, and methods include a providing a raw image of a sample; observing a pixel size of the raw image; converting the raw image into a transformed image by applying a Fourier transform to the raw image; applying a function, based on the pixel size, to the transformed image; and determining a key performance indicator of a resolution of the raw image based on results of the applied function.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube

25.

POSITIONING SYSTEM FOR AN OPTICAL ELEMENT OF A METROLOGY APPARATUS

      
Application Number EP2023075306
Publication Number 2024/061736
Status In Force
Filing Date 2023-09-14
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Suit, Brandon, Michael
  • Lomans, Bram, Antonius, Gerardus
  • Cappelli, Douglas, C.
  • Ochs, Jason

Abstract

A positioning system for an optical element such as an objective of a metrology apparatus (e.g., an overlay measurement apparatus used in a semiconductor manufacturing process) is described. The positioning system comprises a stage and a positioner. The positioner comprises at least one flexible support coupled to the stage, with the at least one flexible support configured to be relatively stiff in an axial direction of the positioner, and relatively flexible in other directions. The positioner comprises a base coupled to the at least one flexible support, with the base configured to be actuated to move in the axial direction, and in turn move the stage. The positioner comprises a guide configured to couple the base to a frame of the metrology apparatus, with the guide configured to bend when the base is actuated.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

26.

METHOD AND APPARATUS FOR BONDING SUBSTRATES

      
Application Number EP2023073234
Publication Number 2024/061565
Status In Force
Filing Date 2023-08-24
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ravichandran, Arvind
  • Venugopalan, Syam, Parayil

Abstract

A device for aligning and placing electrical components includes a first stage to support at least one first electrical component, each first electrical component having a plurality of conductive surfaces on a side opposite the first stage, a second stage to support at least one second electrical component, each second electrical component having a plurality of conductive surfaces on a side opposite the second stage, a voltage source to produce a voltage between the conductive surfaces of the first electrical components and conductive surfaces of the second electrical components, and a controller to control relative motion between the first stage and the second stage, and to align corresponding ones of the plurality of conductive surfaces of the first electrical component with corresponding ones of the plurality of conductive surfaces on the second electrical component at least partially on the basis of an electrostatic force therebetween.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices
  • H01L 25/18 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different subgroups of the same main group of groups , or in a single subclass of ,
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

27.

METHOD OF FORMING A PATTERNED LAYER OF MATERIAL, APPARATUS FOR FORMING A PATTERNED LAYER OF MATERIAL

      
Application Number EP2023073783
Publication Number 2024/061581
Status In Force
Filing Date 2023-08-30
Publication Date 2024-03-28
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Venugopalan, Syam, Parayil
  • De Jager, Pieter, Willem, Herman
  • Faramarzi, Vina

Abstract

The disclosure relates to methods and apparatus for forming a patterned layer of material on a substrate. In one arrangement, a selected portion of a surface of a substrate is irradiated during a deposition process. The irradiation locally drives the deposition process in the selected portion and thereby forms a patterned layer of material in a pattern defined by the selected portion. A bias voltage of alternating polarity is applied to the substrate during the irradiation to periodically drive secondary electrons generated inside the substrate by the irradiation towards the surface in the selected portion.

IPC Classes  ?

  • C23C 16/04 - Coating on selected surface areas, e.g. using masks
  • G03F 7/16 - Coating processes; Apparatus therefor

28.

PELLICLE AND METHODS FOR FORMING PELLICLE FOR USE IN A LITHOGRAPHIC APPARATUS

      
Application Number EP2023074764
Publication Number 2024/056548
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-21
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • IMEC V.Z.W. (Belgium)
Inventor
  • Vermeulen, Paul, Alexander
  • Gallagher, Emily, Elizabeth
  • Pollentier, Ivan, Karel, Arthur
  • Brems, Steven

Abstract

A pellicle for use in a lithographic apparatus, the pellicle include: a membrane, the membrane having a first portion and a second portion; and a protective portion at the second portion on at least one side of the membrane. A method for forming a pellicle for use in a lithographic apparatus, the method including: providing a membrane having a first portion and a second portion, and providing a protective portion at the second portion on at least one side of the membrane.

IPC Classes  ?

  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

29.

A PATTERNING DEVICE VOLTAGE BIASING SYSTEM FOR USE IN EUV LITHOGRAPHY

      
Application Number EP2023074779
Publication Number 2024/056552
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Brouns, Derk, Servatius, Gertruda
  • Nikipelov, Andrey
  • Cats, Selwyn, Yannick, Frithjof
  • Yaghoobi, Parham
  • Cloin, Christian, Gerardus, Norbertus, Hendricus, Marie
  • Yakunin, Andrei, Mikhailovich
  • Mylapravan Gangadharan, Hariprasad

Abstract

A patterning device voltage biasing system for use in a lithographic apparatus, the patterning device voltage biasing system comprising: a patterning device configured to impart a pattern to a beam of radiation, the patterning device comprising a patterning surface with a pattern thereon; and a voltage source, wherein the patterning device voltage biasing system is configured such that a voltage can be applied to the patterning surface of the patterning device by the voltage source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

30.

A MULTI-PASS RADIATION DEVICE

      
Application Number EP2023071964
Publication Number 2024/056284
Status In Force
Filing Date 2023-08-08
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Edward, Stephen
  • Abdolvand, Amir

Abstract

A radiation device for generating broadband output radiation upon receiving pulsed input radiation, the radiation device comprising: an oscillating cavity comprising a first reflecting surface and a second reflecting surface; and a nonlinear medium located between the first reflecting surface and the second reflecting surface; wherein the oscillating cavity is configured to receive and to oscillate therewithin said pulsed input radiation such that the pulsed input radiation undergoes a filamentation process resulting in formation of one or more filaments in the nonlinear medium and such that the pulsed input radiation undergoes a plurality of passes through the nonlinear medium such that it is spectrally broadened by the nonlinear medium to form the broadband output radiation.

IPC Classes  ?

31.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023072458
Publication Number 2024/056296
Status In Force
Filing Date 2023-08-15
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tinnemans, Patricius, Aloysius Jacobus
  • Van Putten, Eibert, Gerjan

Abstract

Disclosed is a dark-field metrology method. A first partial electric field is determined from a measurement parameter of first diffracted radiation data relating to a first portion of a diffraction order and a second partial electric field is determined from a measurement parameter of second diffracted radiation data relating to a second portion of the diffraction order, The first portion of the diffraction order and second portion of the diffraction order relate to respective portions of a detection pupil plane or conjugate thereof. An electric field of said diffraction order is determined from said first partial electric field and said second partial electric field.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

32.

ILLUMINATION ADJUSTMENT APPARATUSES AND LITHOGRAPHIC APPARATUSES

      
Application Number EP2023072765
Publication Number 2024/056318
Status In Force
Filing Date 2023-08-18
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ungureanu, Nicolae, Marian
  • Melfi, Jr., James
  • Chester, James, F.
  • Kaminer, Yuval
  • Apone, Nicholas, Stephen

Abstract

An illumination adjustment apparatus includes a plate, actuators, and finger structures. The actuators include coils disposed on the plate. The finger structures include beryllium alloy material. Ones of the finger structures are coupled to corresponding ones of the actuators via magnets. The finger structures are moved independently using the actuators, are disposed at least partially in a path of a beam of radiation to intercept at least a portion of the beam, and adjust an intensity cross-section of the beam based on the moving and the intercepting.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

33.

MIXED ENERGY CONTROL IN AN EUV LITHOGRAPHY SYSTEM

      
Application Number EP2023073112
Publication Number 2024/056330
Status In Force
Filing Date 2023-08-23
Publication Date 2024-03-21
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Yan
  • Masic, Milenko
  • Jacques, Robert N.
  • Park, Jisang

Abstract

A system for and method of controlling extreme ultraviolet (EUV) output power in an EUV radiation source in which one control loop controls at least one system variable impacting the power of a drive laser while the drive laser generates laser pulses converting a portion of target material in an irradiation region and another control loop controls a hit/miss firing pattern which determines which laser pulses will strike a portion of target material in the irradiation region and which will not.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

34.

HOLOGRAPHIC METROLOGY APPARATUS AND METHOD

      
Application Number EP2023071962
Publication Number 2024/052031
Status In Force
Filing Date 2023-08-08
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Buijs, Robin, Daniel
  • Van Schaijk, Theodorus, Thomas, Marinus
  • Den Boef, Arie, Jeffrey

Abstract

A method of determining a parameter of interest of a structure comprising at least one first feature oriented along a first axis of a structure coordinate system and at least one second feature oriented along a second axis of the structure coordinate system. The method comprising: illuminating the first feature and the second feature with first illumination from a first direction oblique to said first axis and second axis, so as to generate first scattered radiation from the first feature and second scattered radiation from the second feature, detecting a first interference pattern formed by interference between a portion of the first scattered radiation and first reference illumination; detecting a second interference pattern formed by interference between a portion of the second scattered radiation and the first reference illumination; and determining the parameter of interest of the structure using the first interference pattern and the second interference pattern.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03H 1/04 - Processes or apparatus for producing holograms
  • G03H 1/08 - Synthesising holograms

35.

EUV RADIATION BEAM POWER REDUCTION

      
Application Number EP2023072122
Publication Number 2024/052041
Status In Force
Filing Date 2023-08-10
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Szwedowicz, Konrad
  • Der Kinderen, Ronny

Abstract

A method of providing an additional EUV radiation exposure of part of a die on a substrate at a level of EUV radiation power which compensates for a previous low exposure, the method using EUV radiation power incident upon a patterning device of a lithographic apparatus, the lithographic apparatus comprising a first array of mirrors and a second array of mirrors, the first array of mirrors being configured to receive EUV radiation and to reflect the EUV radiation as sub-beams of radiation towards the second array of mirrors, wherein the method comprises rotating mirrors of the first array such that at least some of the sub-beams of radiation are incident on mirrors of the second array at positions which provide reduced transmission of the sub-beams of radiation to the patterning device.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

36.

MEASURING CONTRAST AND CRITICAL DIMENSION USING AN ALIGNMENT SENSOR

      
Application Number EP2023072449
Publication Number 2024/052061
Status In Force
Filing Date 2023-08-15
Publication Date 2024-03-14
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • IMEC V.Z.W. (Belgium)
Inventor
  • Duriau, Edouard, André, Marie, Louis
  • Tabery, Cyrus, Emil
  • Brunner, Timothy, Allan
  • Ausschnitt, Christopher, P.
  • Truffert, Vincent, Patrick, Thomas

Abstract

A method can include directing radiation toward at least two targets using an optical scanning system so as to generate first and second portions of scattered radiation. A first target can include a plurality of first grating line structures including features having a first bias value. A second target can include a plurality of second grating line structures including features having a second bias value. The method can include detecting the first and second portions of scattered radiation, generating a first measurement signal indicative of a first target position based on the first bias features, and generating a second measurement signal indicative of a second target position based on the second bias features. The method can include analyzing an effect of the first and second bias values on the first and second positions to determine at least one property of the set of targets.

IPC Classes  ?

  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/20 - Exposure; Apparatus therefor

37.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023071435
Publication Number 2024/052012
Status In Force
Filing Date 2023-08-02
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • El Gawhary, Omar
  • Helfenstein, Patrick, Philipp
  • Van Rijswijk, Loes, Frederique
  • Geypen, Niels
  • Jonquiere, Hugo, Laurent
  • Invernizzi, Andrea
  • Cramer, Hugo, Augustinus, Joseph

Abstract

Disclosed is a method of determining at least one parameter of interest relating to a structure formed in at least one respective layer on a substrate, the method comprising: obtaining a measured metrology data relating to a measurement of the structure; obtaining a model, the model describing the structure in terms of a plurality of model parameters, the model parameters comprising estimated values; and performing in sequence a plurality of optimization steps, based on the measured metrology data, to determine the plurality of model parameters, each of the plurality of optimization steps determining a subset of the plurality of model parameters.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

38.

METHOD FOR MONITORING PROPER FUNCTIONING OF ONE OR MORE COMPONENTS OF A LITHOGRAPHY SYSTEM

      
Application Number EP2023072412
Publication Number 2024/052057
Status In Force
Filing Date 2023-08-14
Publication Date 2024-03-14
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Goch, Bram, Paul, Theodoor
  • Van Der Maas, Richard, Jacobus, Rudolf

Abstract

Disclosed is a method for monitoring proper functioning of one or more components of a lithography system. The method comprises determining a frequency response function for each of said one or more components during production activity using the lithography system, at a time during said production activity when control requirements are relatively less stringent; evaluating each of said frequency response functions with respect to control data indicative of nominal lithographic system behavior; and predicting whether to perform a maintenance action on the lithography system based on said evaluating step.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

39.

SYSTEM AND METHOD FOR DETECTING PARTICLES WITH A DETECTOR DURING INSPECTION

      
Application Number EP2023071272
Publication Number 2024/046685
Status In Force
Filing Date 2023-08-01
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Moon, Eunseong
  • Wang, Yongxin
  • Lai, Rui-Ling
  • Vessal, Farhang
  • Leng, Chongyang

Abstract

Systems, apparatuses, and methods include a detector including a detection element (400) including a portion of a silicon substrate (402) comprising: a front side (410) of the portion of the silicon substrate including a PIN diode that comprises a p-type region (404a) and an n-type region (403a); a back side (420) of the portion of the silicon substrate, opposite of the front side, comprising a substantially uniform surface; and a layer (421) on the back side of the portion of the silicon substrate; wherein: a region between the p-type region and the n-type region is configured to form a depletion region (407) when a reverse bias is applied between the p-type region (404a) and the n-type region (403a), and the PIN diode is configured to detect an electron that enters the back side of the portion of the silicon substrate and passes through the portion of the silicon substrate to the depletion region.

IPC Classes  ?

  • H01L 31/115 - Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
  • H01J 37/244 - Detectors; Associated components or circuits therefor

40.

METHOD FOR CONFIGURING A FIELD OF VIEW OF AN INSPECTION APPARATUS

      
Application Number EP2023071406
Publication Number 2024/046691
Status In Force
Filing Date 2023-08-02
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bastani, Vahid
  • Nechaev, Konstantin, Sergeevich
  • Anunciado, Roy
  • Van Der Sanden, Stefan, Cornelis, Theodorus

Abstract

Disclosed is method for configuring a field of view configuration of an inspection apparatus with respect to a pattern on a patterned substrate to be measured. The method comprises: obtaining metrology data comprising at least one parameter distribution across at least a portion of the pattern, the at least one parameter distribution relating to a respective one or more parameters of interest and/or respective one 5 or more proxy parameters for a parameter of interest; determining variation metric data quantifying an amount of variation captured within the parameter distribution when limited to the field of view for varied field of view configurations; and configuring the field of view configuration with respect to the pattern based on said variation metric data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

41.

DOSE CONTROL IN AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Application Number EP2023073115
Publication Number 2024/046835
Status In Force
Filing Date 2023-08-23
Publication Date 2024-03-07
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Huang, Merlin

Abstract

A dose controller is configured to: receive a measurement of an extreme ultraviolet (EUV) pulse energy created from an EUV interaction, the EUV interaction occurring between a target light pulse produced by a target light source and a target in an interaction region; receive an EUV pulse energy set-point associated with a desired EUV pulse energy for exposing a substrate; determine an interaction ratio based on the measured EUV pulse energy and the EUV pulse energy set-point, the interaction ratio defining a percentage of the average number of EUV interactions over a period of time; determine energy in one or more target light pulses supplied to the interaction region, the determined energy being based on the value of the interaction ratio and the measured EUV pulse energy; and provide the determined interaction ratio and the determined energy to the target light source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

42.

METROLOGY SYSTEM AND METHOD

      
Application Number EP2023070488
Publication Number 2024/041827
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yoon, Changsik
  • Koolen, Armand, Eugene, Albert
  • Hoogveld, Jasper, Niko, Maria
  • Joobeur, Adel
  • Zimmerman, Richard, Carl
  • Raub, Alexander, Kenneth
  • Jin, Yuwei
  • Cheng, Su-Ting
  • Tenner, Vasco, Tomas
  • Wei, Xukang
  • Gouteux, Louise, Karina, Laurie

Abstract

A system includes an illumination system, a scanning system, an optical system, a detector system, and a processor. The illumination system directs an optical beam to illuminate a target structure. The scanning system scans the optical beam and controls a size of a focal spot of the optical beam onto the target structure. The optical system maintains an alignment with an optical axis of the system during scanning of the optical beam. The detector system detects a signal beam generated from the target structure during scanning of the optical beam. The signal beam comprises at least a scattered beam generated from the target structure. The processor analyzes the detected signal beam to determine an overlay characteristic of the target structure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

43.

MODELLING OF MULTI-LEVEL ETCH PROCESSES

      
Application Number EP2023070661
Publication Number 2024/041831
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-29
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fan, Yongfa
  • Feng, Mu

Abstract

Disclosed are methods, systems, and computer software for predicting after-etch profiles of features at varying depths. A method can include accessing after-development resist profiles of features. The method can also include applying an etch bias model on the after-development resist profiles to obtain the after-etch profiles, where the etch bias model correlates an etch bias with an etch depth.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

44.

METHOD TO STABILIZE A WAVELENGTH OF A TUNABLE LASER DEVICE, TUNABLE LASER DEVICE, AND POSITION MEASUREMENT SYSTEM PROVIDED WITH THE TUNABLE LASER DEVICE

      
Application Number EP2023069432
Publication Number 2024/037799
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jansen, Maarten, Jozef
  • Klarenbeek, Eduard, Martinus

Abstract

A wavelength of a tunable laser device is stabilized by providing a laser beam from a tunable laser source to an interferometer having a stable reference axis and to a gas absorption cell, scanning the laser beam between first and second wavelengths to determine absorption cell transmission spectrum as a function of wavelength difference; using the interferometer to determine phase change as a function of the wavelength difference; determining a transmission spectrum as a function of the phase change using the gas absorption cell transmission spectrum and phase change as a function of wavelength difference; correlating the determined transmission spectrum as a function of phase change to a pre-calibrated transmission spectrum of the tunable laser device to provide absolute laser wavelength as a function of the phase change; defining phase setpoint corresponding to wavelength setpoint; using the phase setpoint to tune the tunable laser device to the wavelength setpoint.

IPC Classes  ?

  • H01S 3/13 - Stabilisation of laser output parameters, e.g. frequency or amplitude
  • G01J 9/02 - Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength by interferometric methods

45.

A CONDITIONING SYSTEM, ARRANGEMENT AND METHOD

      
Application Number EP2023069502
Publication Number 2024/037801
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Gattobigio, Giovanni, Luca
  • Lau, Yuk Man
  • Park, Sang-Myung

Abstract

Disclosed herein is a stand-alone conditioning system for a fluid handling structure of a lithographic apparatus, comprising: an inspection system configured to inspect the fluid handling structure and to determine one or more different types of conditioning to be performed on a major surface of the fluid handling structure; and a conditioning device configured to perform the determined one or more different types of conditioning on the major surface of the fluid handling structure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

46.

SUPPRESSING SPECULAR REFLECTION OF MASK ABSORBER AND ON- RESOLUTION FIELD STITCHING

      
Application Number EP2023070498
Publication Number 2024/037837
Status In Force
Filing Date 2023-07-25
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Slachter, Abraham
  • Van Lare, Marie-Claire
  • Mcnamara, John, Martin
  • Engblom, Peter, David
  • Van Setten, Eelco

Abstract

Described a method and system for generating a mask pattern design for use in imaging of a pattern on a substrate using a lithographic apparatus. The methods include identifying an area located between two adjacent exposure fields in a lithography process, and determining a placement and a geometry of a sub-resolution feature to be placed in the area of an absorber layer of the mask pattern design based on a prescribed background intensity criterion. The geometrical parameters, such as a pitch and critical dimension (CD) of the sub-resolution feature, and placement parameters such as overlay parameter and line end distance are determined for assisting in designing and positioning the sub-resolution feature in the absorber layer to minimize specular reflection from the absorber layer. The placement parameters may also assist in stitching images of adjacent exposure fields.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

47.

METHOD FOR RADIATION SPECTRUM AWARE SOUCE MASK OPTIMIZATION FOR LITHOGRAPHY

      
Application Number EP2023071100
Publication Number 2024/037859
Status In Force
Filing Date 2023-07-29
Publication Date 2024-02-22
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • CYMER, LLC (USA)
Inventor
  • Conley, Willard, Earl
  • Crouse, Michael, Matthew
  • Kaplan, Christopher, James
  • Counts, Tami, Lynn
  • Plachecki, Vincent, Edward
  • Thornes, Joshua, Jon

Abstract

Photolithography. Perform source mask optimization SMO for a plurality of laser spectra. Generate training data by determining for each optimized source-mask-combination performance metrics such as EPE, CDU, LER, LWR, DOF, NILS for the different laser spectra. Train a machine learning ML model to determine an optimal laser spectrum based on the generated training data. Predict optimal spectra for production design layouts using the trained ML model.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

48.

CLASSIFYING PRODUCT UNITS

      
Application Number EP2023069419
Publication Number 2024/037797
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koulierakis, Eleftherios
  • Gonzalez Huesca, Juan Manuel
  • Smal, Pavel
  • Aarden, Frans, Bernard
  • Ravichandran, Arvind
  • Dou, Meng
  • Hubaux, Arnaud
  • Van Hertum, Pieter

Abstract

One embodiment relates to a method of classifying product units subject to a process performed by an apparatus, the method comprising: receiving KPI data, the KPI data associated with a plurality of components of the apparatus and comprising data associated with a plurality of KPIs; clustering the KPI data to identify a plurality of clusters; analyzing the plurality of clusters to identify a plurality of failure modes associated with the apparatus, for each identified failure mode assigning a threshold to each KPI associated with the failure mode; and for each of the plurality of product units: determining the likelihood of each of the plurality of failure modes based on KPI data of the product unit and the thresholds assigned to each KPI associated with one of the plurality of failure modes; and performing a classification based on the likelihoods of each of the plurality of failure modes.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

49.

METHOD AND APPARATUS FOR CONTACTLESS INSPECTION OF A SUBSTRATE

      
Application Number EP2023070464
Publication Number 2024/037834
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ravichandran, Arvind
  • Parayil Venugopalan, Syam

Abstract

A device (201) for inspecting a conductive pattern (202) on a substrate (200) includes a plurality of sensor plates (204), a table configured and arranged to support the substrate, a voltage source (208), configured to generate an electric field between the sensor plates and the conductive pattern on the substrate, an actuator (206), configured to move the sensor plates relative to the substrate, a controller (210), the controller configured and arranged to identify regions having defect on the basis of changes in capacitance between the sensor plates and the substrate as the sensor plates are moved relative to the substrate.

IPC Classes  ?

  • G01R 31/312 - Contactless testing by capacitive methods

50.

SUPERCONDUCTIVE MAGNET ASSEMBLY, PLANAR MOTOR AND LITHOGRAPHIC APPARATUS

      
Application Number EP2023070786
Publication Number 2024/037849
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-22
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Hamelinck, Roger, Franciscus, Mattheus, Maria
  • De Gersem, Gudrun, Ghilaine, Agnes
  • Van Duivenbode, Jeroen
  • Van De Ven, Emiel, Anton
  • Wesselink, Rien, Johan, Hein

Abstract

The invention provides a superconductive (SC) magnet assembly for a planar motor, the superconductive magnet assembly comprising: - a two-dimensional (2D) array of SC coils configured to generate a two-dimensional spatially alternating magnetic field; - a shield arranged on a side of the 2D array of SC coils facing, during use, a mover of the planar motor, the shield being configured to mitigate a magnetic field change as experienced by the 2D array of SC coils; wherein the shield comprises a layer of conductive material, the layer spanning an area substantially covering the 2D array of SC coils, the layer of conductive material having a thickness variation across the area, the thickness variation across the area being associated with a geometric parameter of the 2D array of SC coils.

IPC Classes  ?

  • H02K 11/01 - Structural association of dynamo-electric machines with electric components or with devices for shielding, monitoring or protection for shielding from electromagnetic fields
  • H02K 41/03 - Synchronous motors; Motors moving step by step; Reluctance motors
  • H02K 55/02 - Dynamo-electric machines having windings operating at cryogenic temperatures of the synchronous type
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

51.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023070043
Publication Number 2024/033035
Status In Force
Filing Date 2023-07-19
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zwier, Olger, Victor
  • Koop, Erik, Johan

Abstract

Disclosed is a metrology method. The method comprises illuminating a target comprising one or more sub-targets on a substrate using underfilled illumination such that an illumination beam profile underfills each of said one or more sub-targets; capturing scattered radiation resultant from said illuminating the target; imaging the scattered radiation at a detection image plane to obtain an image; and determining a parameter of interest from the imaged scattered radiation.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

52.

METROLOGY METHOD AND ASSOCIATED METROLOGY DEVICE

      
Application Number EP2023070048
Publication Number 2024/033036
Status In Force
Filing Date 2023-07-19
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Calado, Victor, Emanuel
  • Mathijssen, Simon, Gijsbert, Josephus
  • Anderson, Amanda, Elizabeth

Abstract

Disclosed is a metrology method. The method comprises obtaining measurement data relating to measurement of at least one target using two or more different illumination profiles; and a respective parameter of interest value for a parameter of interest for each of said two or more different illumination profiles. The method described determining, from said measurement data, a respective measurement parameter deviation value for each of said two or more different illumination profiles, said measurement parameter deviation value describing a deviation in a measurement parameter with respect to a measurement parameter value attributed to a region of interest of said target or a sub-target thereof; determining a relationship for the target between the parameter of interest values and the measurement parameter deviation values; and determining one or both of a corrected parameter of interest value and a preferred illumination profile from said relationship.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

53.

FLUID DISPENSING SYSTEM AND METHOD

      
Application Number EP2023068678
Publication Number 2024/032987
Status In Force
Filing Date 2023-07-06
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Huang, Zhuangxiong
  • Tralli, Aldo
  • Jilisen, Reinier, Theodorus, Martinus
  • Merkx, Maarten, Anton, Gertruda

Abstract

There is provided a fluid dispensing system including a fluid-permeable surface having a pre-defined permeability to allow permeation of a fluid, and a controller configured to control the rate of permeation of the fluid into a volume by controlling one or both of a pressure of the fluid and an exposed surface area of the fluid-permeable surface. Also provided is a method of controlling the dispensing of a fluid, a plasma-generating apparatus including such a fluid dispensing system as well as the use of such a system, method, or apparatus in a lithographic apparatus or process.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • H01J 37/32 - Gas-filled discharge tubes

54.

INFERENCE MODEL TRAINING

      
Application Number EP2023069393
Publication Number 2024/033005
Status In Force
Filing Date 2023-07-12
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Middlebrooks, Scott, Anderson
  • Verheul, Nick
  • Van Kraaij, Markus, Gerardus, Martinus, Maria
  • Tiemersma, Bart, Jacobus, Martinus
  • Cerfontaine, Pascal

Abstract

A method of training an inference model to determine one or more parameters of a product of a fabrication process from measurements of the product. The method comprises obtaining a dataset of measurements of one or more products of the fabrication process, each of the measurements comprising an array of values obtained by measuring a corresponding one of the products. The method further comprises selecting a proper subset of the dataset for use in training the inference model, the subset being selected by applying an optimisation procedure to an objective function providing a measure of differences between each measurement in the dataset and corresponding reproduced values of the measurements obtained using a reproduction function having a domain comprising the measurements in the subset and excluding the measurements not in the subset. The method also comprises training the inference model using the proper subset of the dataset.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G06N 3/08 - Learning methods
  • G06N 20/00 - Machine learning
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

55.

DEBRIS HANDLING APPARATUS AND METHOD FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Application Number EP2023069737
Publication Number 2024/033020
Status In Force
Filing Date 2023-07-15
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Johnson, Esteban, Joseph, Sandoval
  • Scaffidi, Kyle, John
  • Mandrusiak, Gary, Dwayne
  • Easo, Liza
  • Crocker, Adam
  • Caputo, Bryce, Collin

Abstract

A debris handling apparatus controls debris within a controlled-environment interior of a chamber of an extreme ultraviolet (EUV) radiation source in which produced EUV light is transmitted along an optical axis within the chamber interior. The debris handling apparatus includes: a linear array of fins and a port liner. The fins are arranged relative to each other such that grooves are formed between adjacent fins of the array, each groove including an input groove passage and an output groove passage facing an exhaust pump such that a flow direction is defined from the input groove passage to the output groove passage. The port liner defines a fluid port that includes an upstream side positioned exterior to the chamber and in fluid communication with the chamber interior, and a downstream side adjacent to and in fluid communication with the input groove passages.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

56.

A RADIATION SOURCE

      
Application Number EP2023069855
Publication Number 2024/033025
Status In Force
Filing Date 2023-07-18
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Edward, Stephen

Abstract

A beam metrology device for determining at least one characteristic of first radiation and/or at least one characteristic of second radiation, said second radiation being generated via a first nonlinear process upon receiving a first portion of the first radiation; the beam metrology device comprising: a metrology device nonlinear medium configured to receive a second portion of the first radiation and thereby to generate third radiation via a second nonlinear process; at least one detector configured to measure at least one characteristic of the third radiation; and a processing unit operable to determine the at least one characteristic of the first radiation and/or the at least one characteristic of the second radiation based on said at least one characteristic of the third radiation.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G02F 1/35 - Non-linear optics
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

57.

DYNAMIC SWITCHING OF A DETECTOR SWITCH MATRIX

      
Application Number EP2023070486
Publication Number 2024/033070
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bex, Jan
  • Neubauer, Harald Gert Helmut
  • Oberst, Matthias
  • Vollmer, Bernd Michael
  • Mook, Hindrik, Willem
  • Uludag, Utku

Abstract

A charged particle detector includes an array of sensing elements that may be selectively grouped with each other by a switch matrix. The sensing elements may be grouped in a shape and location that corresponds to an expected shape and location of beam spot to be detected. During a detection process, the grouping of sensing elements may be updated in real time. Updating may include both adding peripheral sensing elements to the group, as well as removing peripheral sensing elements from the group. A sensing element may be added if it is determined to be receiving sufficient irradiation from the beam spot. A sensing element may be removed if it is determined to not be receiving sufficient irradiation from the beam spot. The determination may be made by a thresholding circuit located within each sensing element.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors
  • G01T 1/28 - Measuring radiation intensity with secondary-emission detectors

58.

PARTICLE DETECTOR WITH REDUCED INTER-SYMBOL INTERFERENCE

      
Application Number EP2023070487
Publication Number 2024/033071
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Bex, Jan
  • Pelgrom, Marcellinus Johannes Maria
  • Neubauer, Harald Gert Helmut
  • Oberst, Matthias
  • Vollmer, Bernd Michael
  • Mook, Hindrik, Willem
  • Uludag, Utku

Abstract

Systems and methods for fabricating a substrate of a charged-particle detector for use in a charged-particle beam apparatus are disclosed. The substrate may include a charge sensing element formed on a first surface of the substrate and configured to detect charged particles originating from a sample; and a plurality of transistors formed in a first region of a second surface of the substrate, the second surface being opposite the first surface, wherein a top surface of each transistor of the plurality of transistors is coplanar with a top surface of the first region and with the second surface of the substrate.

IPC Classes  ?

  • H01L 27/144 - Devices controlled by radiation
  • H01J 37/244 - Detectors; Associated components or circuits therefor

59.

REGION-DENSITY BASED MISALIGNMENT INDEX FOR IMAGE ALIGNMENT

      
Application Number EP2023070798
Publication Number 2024/033096
Status In Force
Filing Date 2023-07-27
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zuo, Hongquan
  • Pu, Lingling
  • Xu, Ming

Abstract

An improved method and system for image alignment of an inspection image are disclosed. The improved method and system comprises a misalignment index based on applying a density function to both a sample image and a reference image at a region of interest. One or more metrics, such as cross-correlation of the sample and reference images, can be used to derive a region-density based misalignment index from the density-function images. The index can yield a unique alignment solution that is robust to noise and other errors.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06T 7/32 - Determination of transform parameters for the alignment of images, i.e. image registration using correlation-based methods
  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
  • G01R 31/303 - Contactless testing of integrated circuits

60.

SWITCH MATRIX CONFIGURATION FOR IMPROVED BANDWIDTH PERFORMANCE

      
Application Number EP2023070799
Publication Number 2024/033097
Status In Force
Filing Date 2023-07-27
Publication Date 2024-02-15
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jan, Jan
  • Klein, Leonhard Martin
  • Neubauer, Harald Gert Helmut
  • Oberst, Matthias
  • Vollmer, Bernd Michael
  • Uludag, Utku
  • Mook, Hindrik, Willem

Abstract

A charged particle detector includes a plurality of sensing elements. The sensing elements may be divided into in sections, with each section including an array of sensing elements. Each section may be coupled to adjacent sections by a set of switches in an upper hierarchy of a switch matrix. Individual sensing elements may be connected to the upper hierarchy in their section by pickup switches. Individual sensing elements may further be coupled to adjacent sensing elements by lateral switches in a lower hierarchy. When sensing elements are grouped together to detect a charged particle beam spot, an optimal configuration of lower and upper hierarchy switches may be selected to optimize bandwidth performance of the detector.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors

61.

REFLECTIVE MEMBER FOR EUV LITHOGRAPHY

      
Application Number EP2023067468
Publication Number 2024/027999
Status In Force
Filing Date 2023-06-27
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Nam, Donk Seok
  • Yen, Anthony

Abstract

A reflective member for use in an EUV lithographic apparatus, the reflective member comprising a multilayer stack which comprises a plurality of layers arranged in pairs, wherein: each pair comprises a first layer and a second layer; the first layer is formed of a material that comprises Si; and the second layer is formed of a material that comprises at least two of Ru, Nb, and Mo, and wherein the second layer is configured to have, for light with a wavelength of approximately 13.5 nm, a refractive index that is less than or equal to 0.92 and an absorption coefficient that is less than or equal to 0.015.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G21K 1/06 - Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction, or reflection, e.g. monochromators
  • G03F 1/22 - Masks or mask blanks for imaging by radiation of 100 nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

62.

SENSOR MODULE, ILLUMINATOR, METROLOGY DEVICE AND ASSOCIATED METROLOGY METHOD

      
Application Number EP2023069103
Publication Number 2024/028046
Status In Force
Filing Date 2023-07-11
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Schaik, Willem
  • Buijs, Robin, Daniel
  • Den Boef, Arie, Jeffrey
  • De Wit, Johannes, Matheus, Marie
  • Tukker, Teunis, Willem

Abstract

A sensor module is disclosed for a metrology apparatus. The sensor module comprises an illumination device for illuminating a structure on a substrate, said illumination device comprising at least a first set of illuminators and a second set of illuminators, wherein said first set of illuminators comprise one or more illuminators which are each operable to illuminate said structure with first illumination comprising a first optical characteristic and wherein said second set of illuminators comprise one or more illuminators which are each operable to illuminate said structure with second illumination comprising a second optical characteristic different to said first optical characteristic; an optical system being operable to capture scattered radiation scattered by the structure resultant from the structure being illuminated; and a detector operable to detect the scattered radiation.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03H 1/04 - Processes or apparatus for producing holograms

63.

SEMICONDUCTOR CHARGED PARTICLE DETECTOR AND METHODS THEREOF

      
Application Number EP2023069554
Publication Number 2024/028076
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ramachandra Rao, Padmakumar
  • Jansen, Sven

Abstract

Systems and methods for charged particle detection using a charged particle detector in a charged-particle beam apparatus are disclosed. The apparatus may include a charged-particle detector comprising a substrate. The substrate may comprise a plurality of sensing elements configured to receive a plurality of charged particles generated from a sample. Each of the plurality of sensing elements comprises a first device configured to detect a charged particle of the plurality of charged particles having an energy equal to or below a first threshold and allow a charged particle of the plurality of charged particles having an energy greater than the first threshold to pass through. The sensing element further comprises a second device configured to detect the charged particle that is allowed to pass through the first device.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

64.

HIGH-THROUGHPUT LOAD LOCK CHAMBER

      
Application Number EP2023070790
Publication Number 2024/028194
Status In Force
Filing Date 2023-07-26
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yu, Dongchi
  • Lin, Yi-Chen
  • Lin, Jun-Li
  • Fu, Shao-Wei

Abstract

An improved load lock chamber is provided. The load lock chamber includes a gas vent port, a first compartment configured to receive a wafer for loading into and unloading from a main vacuum chamber, and a second compartment partitioned from the first compartment. The second compartment is configured to receive gas through the gas vent port. The load lock chamber also includes a flow attenuation path connecting the first compartment and the second compartment. The flow attenuation path is configured to route the gas from the second compartment to the first compartment and to attenuate gas flow.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

65.

DETECTOR FOR DETECTING RADIATION, METHOD OF DETECTING RADIATION, ASSESSMENT SYSTEM

      
Application Number EP2023069540
Publication Number 2024/028075
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jansen, Sven
  • Ramachandra Rao, Padmakumar

Abstract

Detectors and methods of detecting radiation are disclosed. In one arrangement, a plurality of pixel elements is provided. The pixel elements comprise respective pixel substrates, collection electrodes and readout circuits. The pixel substrates are configured such that impingement of target radiation on the pixel substrates generates charge carriers in the pixel substrates. The readout circuits are configured to provide an output responsive to collection of the charge carriers by the respective collection electrodes. A control system implements a plurality of selectable resolution modes by controlling potentials applied to control electrodes and the collection electrodes to define a corresponding plurality of mappings between the pixel substrates in which charge carriers are generated and the collection electrodes that collect those charge carriers.

IPC Classes  ?

  • G01T 1/24 - Measuring radiation intensity with semiconductor detectors

66.

SYSTEM, APPARATUS AND METHOD FOR SELECTIVE SURFACE TREATMENT

      
Application Number EP2023070472
Publication Number 2024/028147
Status In Force
Filing Date 2023-07-24
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Der Voort, Dennis, Dominic

Abstract

Disclosed herein is a shielding system for use in a surface treatment process, comprising a first disk and a second disk. The first disk and second disk being arranged substantially parallel to each other. The first disk comprises a slit-shaped opening, the second disk comprises a plurality of openings; and the first and second disk are arranged to move with respect to each other around a common axis. Herewith, the slit can be positioned at one or more openings of the plurality of openings to form a passage through the shielding system.

IPC Classes  ?

  • C23F 4/00 - Processes for removing metallic material from surfaces, not provided for in group or
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

67.

CONTAMINATION MEASUREMENT

      
Application Number EP2023071296
Publication Number 2024/028327
Status In Force
Filing Date 2023-08-01
Publication Date 2024-02-08
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Carbone, Ludovico
  • Dreissen, Mante
  • Levasier, Leon, Martin

Abstract

A method of determining contamination of an optical sensor in a lithographic apparatus, the method comprising illuminating a pattern on a patterning device using EUV radiation, projecting patterned reflected EUV radiation towards the optical sensor and thereby forming an aerial image of the pattern, and moving the optical sensor relative to the patterned reflected EUV radiation such that an intensity of EUV radiation measured by the optical sensor varies as a function of the position of the optical sensor, wherein the intensity measured by the optical sensor passes through a minimum, and wherein the method further comprises using the measured intensity to measure contamination of the optical sensor.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

68.

DROPLET GENERATOR NOZZLE

      
Application Number EP2023068257
Publication Number 2024/022745
Status In Force
Filing Date 2023-07-03
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Drent, William, Peter

Abstract

A nozzle is provided for a droplet generator for a laser-produced plasma radiation source. The nozzle comprises a glass capillary for emitting droplets and a nozzle fitting comprising a throughbore, wherein the glass capillary is at least partially disposed in the throughbore. The nozzle further comprises a glass ferrule coupling the glass capillary to the nozzle fitting, the glass ferrule being conformed to a shape of the throughbore of the nozzle fitting. A method of manufacturing a nozzle for a droplet generator is also provided.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

69.

TRAINING A MODEL TO GENERATE PREDICTIVE DATA

      
Application Number EP2023069587
Publication Number 2024/022843
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Pisarenco, Maxim
  • Batistakis, Chrysostomos

Abstract

A method of training a generator model comprising: using the generator model to generate the predictive data based on the first measured data, wherein the first measured data and the predictive data can be used to form images of the sample; pairing subsets of the first measured data with subsets of the predictive data, the subsets corresponding to locations within the images of the sample that can be formed from the first measured data and the predictive data; using a discriminator to evaluate a likelihood that the predictive data comes from a same data distribution as second measured data measured from a sample after an etching process; and training the generator model based on: correlation for the pairs corresponding to a same location relative to correlation for pairs corresponding to different locations, the correlation being the correlation between the paired subsets of data, and the likelihood evaluated by the discriminator.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

70.

METHODS OF MITIGATING CROSSTALK IN METROLOGY IMAGES

      
Application Number EP2023066210
Publication Number 2024/022673
Status In Force
Filing Date 2023-06-16
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Buijs, Robin, Daniel
  • Coene, Willem, Marie, Julia, Marcel

Abstract

Disclosed is a method of determining an orthonormalized structure of interest reference image, the orthonormalized structure of interest reference image for applying to a measured image of the structure of interest to correct for the effect of crosstalk from at least one nuisance structure. The method comprises determining a structure of interest reference image based on knowledge of the structure of interest; determining at least one nuisance structure reference image based on knowledge of the at least one nuisance structure; and orthonormalizing the structure of interest reference image to the at least one nuisance reference image to obtain the orthonormalized structure of interest reference image.

IPC Classes  ?

71.

METHOD AND APPARATUSES FOR FOURIER TRANSFORM SPECTROMETRY

      
Application Number EP2023067754
Publication Number 2024/022720
Status In Force
Filing Date 2023-06-29
Publication Date 2024-02-01
Owner
  • STICHTING VU (Netherlands)
  • STICHTING NEDERLANDSE WETENSCHAPPELIJK ONDERZOEK INSTITUTEN (Netherlands)
  • UNIVERSITEIT VAN AMSTERDAM (Netherlands)
  • RIJKSUNIVERSITEIT GRONINGEN (Netherlands)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Den Boef, Arie, Jeffrey
  • Van Schaijk, Theodorus, Thomas, Marinus
  • Setija, Irwan, Dani
  • Cromwijk, Tamara, Christina
  • Adhikary, Manashee
  • Van Kraaij, Markus, Gerardus, Martinus, Maria

Abstract

Disclosed is a Fourier-transform spectrometer comprising a beamsplitting arrangement operable to define a first radiation source and a second radiation source from a common radiation source, and at least one detector operable to detect interferogram data as a function of detection position in at least a first detection plane direction of a detection plane, the interferogram data resulting from interference of a first diverging beam emitted from said first radiation source and a second diverging beam emitted from said second radiation source. A processor is operable to: perform a linearization correction to said interferogram data to obtain linearized interferogram data; and Fourier transform the linearized interferogram data to obtain spectral characteristic data relating to the common radiation source.

IPC Classes  ?

  • G01J 3/02 - Spectrometry; Spectrophotometry; Monochromators; Measuring colours - Details
  • G01J 3/453 - Interferometric spectrometry by correlation of the amplitudes
  • G01J 3/36 - Investigating two or more bands of a spectrum by separate detectors

72.

METHOD AND APPARATUS FOR PARTICLE REMOVAL

      
Application Number EP2023067965
Publication Number 2024/022729
Status In Force
Filing Date 2023-06-30
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Guo, Wei
  • Kreuzer, Justin, Lloyd
  • Roux, Stephen

Abstract

A system for removing particles from a surface includes a plurality of ultrasonic transducers, arranged in an array, a control system, in communication with the plurality of ultrasonic transducers, the control system configured to control phase and amplitude of transducers in the array to generate an acoustic particle trap at a selected location on the surface, and to move a particle trapped in the particle trap away from the surface, and an actuator, configured and arranged to relatively move the array of ultrasonic transducers in a scanning pattern over the surface such that different portions of the surface pass through the generated acoustic particle trap.

IPC Classes  ?

  • G03F 1/82 - Auxiliary processes, e.g. cleaning
  • B08B 7/02 - Cleaning by methods not provided for in a single other subclass or a single group in this subclass by distortion, beating, or vibration of the surface to be cleaned

73.

CONTAMINATION DETERMINATION

      
Application Number EP2023068600
Publication Number 2024/022773
Status In Force
Filing Date 2023-07-05
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Dreissen, Mante
  • Duivenvoorden, Kasper, Hendrikus
  • Carbone, Ludovico
  • Skoulidou, Dimitra
  • Levasier, Leon, Martin
  • Van Aerle, Nicolaas, Aldegonda, Jan, Maria

Abstract

A method of determining contamination of an optical sensor of a sensing system in a lithographic apparatus, the method comprising directing EUV radiation through an opening in a reticle masking blade (26) and onto a patterning device, projecting reflected EUV radiation onto the sensing system and thereby causing build-up of an area of contamination, measuring a height of the area of contamination and a height of an area of the sensing system which did not receive the reflected EUV radiation, and using the measured heights to determine an amount of contamination on the optical sensor of the sensing system.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

74.

ELECTROLYZER WITH DYNAMIC MEMBRANE

      
Application Number EP2023068723
Publication Number 2024/022779
Status In Force
Filing Date 2023-07-06
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Jager, Pieter, Willem, Herman
  • Kastelijn, Aukje, Arianne, Annette

Abstract

A system comprising an electrolyzer includes an anode configured for being connected to a first pole of a voltage source; a cathode configured for being connected to a second pole of the voltage source; a fluid inlet configured to allow a flow of fluid to enter the electrolyzer; and a fluid outlet configured to allow the flow to exit the electrolyzer, the electrolyzer configured to cause the flow to have a flow speed profile along a flow axis with a relatively higher flow speed at the flow axis between the anode and the cathode, and wherein the flow speed becomes relatively lower at locations away from the flow axis and more proximate the anode and the cathode, wherein the electrolyzer has an entrance length that causes the flow speed profile to be at least a partially developed laminar flow when the flow reaches the anode or the cathode.

IPC Classes  ?

  • C25B 1/00 - Electrolytic production of inorganic compounds or non-metals

75.

APPARATUS FOR AND METHOD OF SUPPLYING GAS TO A LITHOGRAPHY SYSTEM

      
Application Number EP2023069096
Publication Number 2024/022796
Status In Force
Filing Date 2023-07-11
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zhu, Qiushi
  • Zhang, Kevin Weimin
  • Ma, Yue

Abstract

Degradation of the reflectivity of one or more reflective optical elements in a system for generating EUV radiation is reduced by the introduction of a small amount of a first gas into a vacuum chamber containing the optical element, with the first gas being separated from a starting gas such as CDA or XCDA. The first gas may be added to the flow of another gas such as hydrogen.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

76.

METROLOGY SYSTEM USING MULTIPLE RADIATION SPOTS

      
Application Number EP2023069509
Publication Number 2024/022839
Status In Force
Filing Date 2023-07-13
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Huisman, Simon, Reinald
  • Goorden, Sebastianus, Adrianus

Abstract

An inspection system includes a radiation source, first and second optical structures, and a detection system. The radiation source generates beams of radiation. An image formed by the beams includes radiation spots corresponding to the beams. Diameters of the radiation spots is less than a dimension of a target and the radiation spots are non-overlapping. The first optical structure routes the beams toward the target so as to project the radiation spots on the target and generate scattered radiation from the target. The second optical structure collects the scattered radiation from the target. The detection system receives the scattered radiation collected by the second optical structure and generates measurement signals. Each of the measurement signals corresponds to each of the radiation spots.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

77.

TRAINING A MACHINE LEARNING MODEL TO GENERATE MRC AND PROCESS AWARE MASK PATTERN

      
Application Number EP2023069735
Publication Number 2024/022854
Status In Force
Filing Date 2023-07-14
Publication Date 2024-02-01
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Hamouda, Ayman

Abstract

Described herein are methods and systems for training a prediction model to predict a mask image in which mask rule check (MRC) violations or process violations (e.g., edge placement error, sub-resolution assist feature (SRAF) printing) are minimized or eliminated. The prediction model is trained based on a loss function that is indicative of (a) a difference between the predicted mask image and a reference image, and (b) at least one of an MRC evaluation of the predicted mask image or an evaluation of a simulated image of the predicted mask image.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06N 20/00 - Machine learning

78.

ENHANCED ALIGNMENT APPARATUS FOR LITHOGRAPHIC SYSTEMS

      
Application Number EP2023068610
Publication Number 2024/017649
Status In Force
Filing Date 2023-07-05
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Huisman, Simon, Reinald

Abstract

Disclosed is a metrology apparatus in which some of the measurement radiation that has interacted with a mark is split into channels or arms and then each channel or arm is spatially separated. In some versions the alignment information comprises polarization channel intensity information. In other versions the alignment information comprises color channel intensity information.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

79.

ENHANCED EDGE DETECTION USING DETECTOR INCIDENCE LOCATIONS

      
Application Number EP2023069237
Publication Number 2024/017717
Status In Force
Filing Date 2023-07-11
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Weperen, Ilse
  • Goosen, Maikel, Robert

Abstract

A system and method for enhanced edge detection in charged particle beam systems such as scanning electron microscopes. The method uses spatial information of the incidence locations of charged particle arrival events on a detector surface to determine when an edge feature is being detected on a sample. An asymmetry parameter, such as shift in the center of mass of a distribution of charged particle arrival events, may be used to determine the presence of an edge feature on a sample surface.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

80.

ELECTRON-OPTICAL APPARATUS AND METHOD OF OBTAINING TOPOGRAPHICAL INFORMATION ABOUT A SAMPLE SURFACE

      
Application Number EP2023069547
Publication Number 2024/017765
Status In Force
Filing Date 2023-07-13
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ooms, Thomas, Adriaan
  • Vergeer, Niels
  • Bosch, Niels, Johannes, Maria
  • Kuiper, Vincent, Sylvester
  • Hempenius, Peter, Paul
  • Steenbrink, Stijn, Wilem, Herman, Karel
  • Slot, Erwin

Abstract

This discloses apparatus and methods for obtaining topographical information about a sample surface. In one arrangement, a sensing system comprises a group of proximal sensors for measuring positions of respective portions of a sample surface, and a distal sensor positioned more remotely from paths of sub-beams of a multibeam than the proximal sensors. The distal sensor measures a position of a portion of the sample surface relative to the distal sensor. A control system controls a charged particle device to process the sample surface in a multibeam processable area using the multibeam. A stage causes the multibeam processable area to move along a processing path in a reference frame of the sample. The sensing system uses at least the distal sensor to obtain topographical information about the sample surface in a selected portion of the processing path before the multibeam processable area reaches the selected portion of the processing path.

IPC Classes  ?

  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/21 - Means for adjusting the focus
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

81.

CHARGED PARTICLE DETECTOR FOR MICROSCOPY

      
Application Number EP2023069553
Publication Number 2024/017766
Status In Force
Filing Date 2023-07-13
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Beukman, Arjan, Johannes, Anton
  • Van Weperen, Ilse

Abstract

A charged particle detector comprising: an array of sensing elements configured to generate an electrical signal in response to charged particles and arranged in rows; an array of optical modulators each connected to a respective one of the sensing elements and configured to modulate a sensing light beam in response to the electrical signal; a plurality of waveguides configured to direct each of a plurality of sensing light beams through a row of the optical modulators; a beam combiner configured to combine the plurality of sensing light beams having passed through respective rows of the optical modulator into a combined beam; and a read out unit configured to measure the modulation of the combined beam to detect charged particles incident on the sensing elements.

IPC Classes  ?

  • H01J 37/244 - Detectors; Associated components or circuits therefor

82.

DEEP LEARNING MODELS FOR DETERMINING MASK DESIGNS ASSOCIATED WITH SEMICONDUCTOR MANUFACTURING

      
Application Number EP2023069734
Publication Number 2024/017808
Status In Force
Filing Date 2023-07-14
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Kraaij, Markus, Gerardus, Martinus, Maria
  • Middlebrooks, Scott, Anderson
  • Pisarenco, Maxim
  • Onose, Alexandru
  • Boone, Robert, Elliott
  • Lu, Yen-Wen

Abstract

A method of determining a mask design is described. The method comprises generating a continuous multimodal representation of a probability distribution of a target design in at least a portion of a latent space. The latent space comprises a distribution of feature variants that can be used to generate mask designs based on the target design. The method comprises selecting a variant from the continuous multimodal representation in the latent space. The variant comprises a latent space representation of one or more features to be used to determine the mask design. The method comprises determining the mask design based on the target design and the variant.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G06N 3/02 - Neural networks
  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

83.

CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number EP2023069342
Publication Number 2024/017737
Status In Force
Filing Date 2023-07-12
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wieland, Marco, Jan-Jaco
  • Kuiper, Vincent, Sylvester
  • Tsiatmas, Anagnostis

Abstract

An assessment method comprising: using an assessment apparatus to generate assessment signals representing a property of a surface of a sample; processing the assessment signals to identify candidate defects and outputting a candidate defect signal; monitoring the status of the assessment apparatus for error conditions and generating a status signal indicating any error conditions during functioning of the assessment apparatus; and analysing the candidate defect signal to determine if the candidate defects are real defects; wherein analysis of a candidate defect is not completed if the status signal indicates that the assessment signal(s) and/or the candidate defect signal corresponding to the candidate defect would have been affected by an error condition.

IPC Classes  ?

  • H01J 37/26 - Electron or ion microscopes; Electron- or ion-diffraction tubes
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

84.

SYSTEMS AND METHODS FOR OPTIMIZING METROLOGY MARKS

      
Application Number EP2023069733
Publication Number 2024/017807
Status In Force
Filing Date 2023-07-14
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Parayil Venugopalan, Syam
  • Besemer, Matthieu
  • Kim, Seheon

Abstract

Systems, methods, and computer software are disclosed for optimizing a metrology mark. One method includes simulating an etch process based on one or more of a pattern density, a microloading effect induced intra-mark variation, or a sensitivity of intra-mark variation to etch chemistry. The method can predict etch-induced process effects on the metrology mark based on the simulation of the etch process and optimize the metrology mark based on the predicted etch-induced process effects.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

85.

SYSTEM AND METHOD FOR COUNTING PARTICLES ON A DETECTOR DURING INSPECTION

      
Application Number EP2023070234
Publication Number 2024/018038
Status In Force
Filing Date 2023-07-20
Publication Date 2024-01-25
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jansen, Sven
  • Ramachandra Rao, Padmakumar
  • Pellemans, Henricus Petrus Maria

Abstract

Systems, apparatuses, and methods include a detector including a plurality of detection elements configured to generate an electrical signal in response to a particle being incident on a detection element of the plurality of detection elements; a plurality of current sources configured to drive a current in response to the electrical signal, outputs of the plurality of current sources being connected to enable combining current output by the plurality of current sources to create a combined current, the plurality of current sources being connected to respective ones of the plurality of detection elements; and an analog-to-digital converter (ADC) configured to convert the combined current to a digital value that is indicative of the electrical signals output by the plurality of detection elements.

IPC Classes  ?

  • G01T 1/17 - Circuit arrangements not adapted to a particular type of detector
  • H01L 27/00 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
  • H04N 5/00 - PICTORIAL COMMUNICATION, e.g. TELEVISION - Details of television systems

86.

MIRROR ASSEMBLY FOR MICROMIRROR ARRAY

      
Application Number EP2023063921
Publication Number 2024/012756
Status In Force
Filing Date 2023-05-24
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Polyvas, Peter, Pal
  • Endendijk, Wilfred, Edward

Abstract

An assembly for movably supporting a mirror comprises: a mirror; and one or more deformable members. A first end of the or each deformable member defines a support portion and a second end of the or each deformable member is attached (either directly or indirectly to the mirror (for example on a rear surface of the mirror). The or each deformable member comprises a first actuator and a second actuator, the first and second actuators being independently addressable. Actuation of the first actuator moves the mirror relative to the support portion in a first direction and actuation of the second actuator moves the mirror relative to the support portion in a second direction that is opposite to the first direction. In use, the support portion may be attached or fixed to a support and the first and second actuators can be used to move the mirror relative to said support.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

87.

METROLOGY TARGET AND ASSOCIATED METROLOGY METHOD

      
Application Number EP2023064955
Publication Number 2024/012772
Status In Force
Filing Date 2023-06-05
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Der Schaar, Maurits
  • Mathijssen, Simon, Gijsbert, Josephus
  • Den Boef, Arie, Jeffrey
  • Zacca, Vincenzo, Giuseppe
  • Warnaar, Patrick

Abstract

Disclosed is a substrate comprising at least one target. The target comprises a plurality of sub-targets, the plurality of sub-targets comprising at least a first sub-target and second sub-target, each of the plurality of sub-targets comprising at least one subsegmented periodic structure having repetitions of a first region and a second region, wherein at least one of the first regions or second regions comprise subsegmented regions formed of periodic sub-features. The first sub-target comprises first subsegmentation characteristics for its subsegmented regions and the second sub-target comprises second subsegmentation characteristics for its subsegmented regions, the first subsegmentation characteristics and second subsegmentation characteristics being different in terms of at least one subsegmentation parameter.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

88.

SYSTEMS AND METHODS FOR PREDICTING POST-ETCH STOCHASTIC VARIATION

      
Application Number EP2023066192
Publication Number 2024/012800
Status In Force
Filing Date 2023-06-15
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Chang An
  • Fan, Yongfa
  • Feng, Mu
  • Peng, Yi-Hsing
  • Cao, Peigen
  • Kooiman, Marleen

Abstract

Described herein is a method for predicting post-etch stochastic variation in transferring a target layout onto a substrate using a lithographic apparatus. The method includes predicting a stochastic variation in transferring a target layout onto a substrate by predicting a stochastic etch bias and combining the stochastic etch bias with post-lithographic process stochastic variation to predict the stochastic variation in an etch process. The method includes determining a performance in transferring the target layout to the substrate based on the stochastic variation.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

89.

STOCHASTIC-AWARE SOURCE MASK OPTIMIZATION BASED ON EDGE PLACEMENT PROBABILITY DISTRIBUTION

      
Application Number EP2023068947
Publication Number 2024/013038
Status In Force
Filing Date 2023-07-07
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Lei, Xin
  • Lin, Chenxi
  • Hsu, Duan-Fu, Stephen

Abstract

A method for stochastic-aware source mask optimization is described. A probability distribution for edge placement which accounts for stochasticity is determined. Based on the probability distribution, the source configuration, mask configuration, or the combination thereof can be optimized for a lithography process. The probability distribution for edge placement can account 5 for a distribution of stochastic effect on edge placement, including a stochastic edge placement error contribution. The probability distribution of edge placement can be compared to a profile to determine a simulated distribution of edge placement error. A cost function, which accounts for the probability distribution of edge placement, can be used to optimize the source configuration, the mask configuration, of the combination thereof.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

90.

METHOD OF ASSESSING A SAMPLE, APPARATUS FOR ASSESSING A SAMPLE

      
Application Number EP2023068949
Publication Number 2024/013039
Status In Force
Filing Date 2023-07-08
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Wieland, Marco, Jan-Jaco

Abstract

The present disclosure relates to apparatus and methods for assessing samples (208) using charged particles. In one arrangement, a degassing action is performed by exposing a target area of a sample (208) with charged particles to stimulate degassing. A rate of degassing from the target area is measured during the degassing action. Initiation of an assessing of the sample (208) is controlled based on a characteristic of the measured rate of degassing. The assessing of the sample (208) comprises exposing the target area with charged particles and detecting signal charged particles from the target area.

IPC Classes  ?

  • G01N 23/2202 - Preparing specimens therefor
  • H01J 37/02 - Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof - Details
  • G01N 1/44 - Sample treatment involving radiation, e.g. heat
  • G01N 23/203 - Measuring back scattering
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G01N 23/2276 - Measuring photoelectric effect , e.g. photoelectron emission microscopy [PEEM] using the Auger effect, e.g. Auger electron spectroscopy [AES]

91.

ISOLATING SPACER FOR ELECTRON-OPTICAL ASSEMBLY

      
Application Number EP2023068950
Publication Number 2024/013040
Status In Force
Filing Date 2023-07-08
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Koning, Johan, Joost

Abstract

A charged particle-optical assembly manipulates one or more charged particle beams. The assembly comprises: an upbeam element a downbeam element and an isolating spacer. The upbeam and down beam elements each comprie a plate having one or more apertures around a beam path of one or more charged particle beams. The spacer is for electrically isolate the upbeam element and the element from each other. The spacer defines a spacer aperture around the beam path of the one or more charged particle beams. The spacer comprises an upbeam portion adjacent to the upbeam element, a downbeam portion adjacent to the downbeam element and an intermediate portion between the upbeam portion and the downbeam portion. The upbeam portion and the downbeam portion protrude relative to the intermediate portion so the spacer aperture has an increased dimension at the intermediate portion compared to the upbeam portion and the downbeam portion.

IPC Classes  ?

92.

ELECTRON-OPTICAL ASSEMBLY

      
Application Number EP2023068951
Publication Number 2024/013041
Status In Force
Filing Date 2023-07-08
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koning, Johan, Joost
  • Doesburg, Olivier, Jacob
  • Zijl, Gomaar

Abstract

A charged particle-optical assembly configured to direct a plurality of beams of charged particles in a beam grid towards a sample location, the charged particle-optical assembly comprising: a planar charged particle-optical element configured to operate at a voltage on charged particle beams of a beam grid, the charged particle-optical element comprising a plurality of apertures for the paths of different beams of the beam grid; a conductive body electrically connected to the charged particle-optical element, wherein a recess is defined within the conductive body and is configured to provide a field free volume for insertion of an electrical coupling to electrically connect the charged particle-optical element via the electrical coupling with an electrical power source; and an electrical insulator covering at least part of a surface of the conductive body, the surface facing away from the charged particle-optical element.

IPC Classes  ?

  • H01J 37/04 - Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
  • H01J 37/248 - Components associated with high voltage supply

93.

DETERMINING MASK RULE CHECK VIOLATIONS AND MASK DESIGN BASED ON LOCAL FEATURE DIMENSION

      
Application Number EP2023069410
Publication Number 2024/013273
Status In Force
Filing Date 2023-07-12
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Peng, Xingyue

Abstract

Described herein are methods and systems for determining mask rule check (MRC) violations associated with mask features based on local feature dimension (LFD) of mask features. A detector is placed at a first location of a mask feature and it's size is varied until it is in contact with a second location of the mask feature. The size of the detector when it is in contact with the second location is determined as an LFD of a portion of the mask feature. For example, the LFD may be determined as a function of a radius of a circular detector. An MRC violation may be detected by comparing the LFD with LFD specification in the MRC. For example, an MRC violation may be detected when the LFD of the portion is lesser than a minimum LFD or greater than a maximum LFD specified in the MRC.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G06T 7/10 - Segmentation; Edge detection

94.

SUBSTRATE HOLDER, LITHOGRAPHIC APPARATUS, COMPUTER PROGRAM AND METHOD

      
Application Number EP2023064583
Publication Number 2024/012768
Status In Force
Filing Date 2023-05-31
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Meijerink, Rick, Jeroen
  • Van Den Heuvel, Marco, Adrianus, Peter
  • Van Der Maaden, Martijn
  • Zeng, Si-Han
  • Pérez Muñoz, Juan Camilo

Abstract

A substrate holding system for supporting a substrate, the substrate holding system comprising a substrate holder and an evacuation conduit, wherein: the substrate holder comprises: a main body having a surface; a plurality of burls projecting from the surface and having distal ends that form a support surface for a substrate; and an evacuation passage in fluid communication with the evacuation conduit and a space between the surface and a substrate supported by the burls to form an evacuation flow path; and a proportional valve is provided in the evacuation flow path.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • H01L 21/673 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components using specially adapted carriers
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

95.

METROLOGY AND CONTROL SYSTEM

      
Application Number EP2023065823
Publication Number 2024/012789
Status In Force
Filing Date 2023-06-13
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Waiboer, Robert Rens
  • Van De Camp, Thijs
  • Beeker, Willem, Paul
  • Van Der Hoeven, Saartje, Willemijn

Abstract

A metrology and control system (100, 400, 500) for a laser beam in an EUV radiation source is disclosed. The system comprises an optical pickup (405, 540, 550) configured to measure a forward beam (410, 510, 520, 530) directed towards a target location (420, 535) and a return beam (415) reflected from the target location. The system also comprises actuatable optical devices (425, 430, 435, 465, 475, 555, 560, 565) configurable to direct and focus the forward beam onto the target location and align a measurement plane of the optical pickup with the target location. The actuatable optical devices are disposed before and after the optical pickup in a path of the forward beam, and the actuatable optical devices are controlled in response to a measurement of the forward beam and the return beam by the optical pickup.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

96.

METHOD AND SYSTEM OF OVERLAY MEASUREMENT USING CHARGED-PARTICLE INSPECTION APPARATUS

      
Application Number EP2023068636
Publication Number 2024/012965
Status In Force
Filing Date 2023-07-06
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kiers, Antoine, Gaston, Marie
  • Gaury, Benoit, Herve
  • Huisman, Thomas, Jarik

Abstract

Disclosed herein is a system, comprising: a charged-particle beam inspection apparatus configured to scan a sample that comprises a target with a plurality of pattern layers; and a controller including circuitry, configured to: obtain detection data in response to a scan of the target; and determine one or more characteristics of the sample in dependence on the obtained detection data and a model; 5 wherein, for each of the plurality of pattern layers of the target, the model comprises a term that is dependent on the properties of the pattern layer.

IPC Classes  ?

97.

TRANSIENT DEFECT INSPECTION USING AN INSPECTION IMAGE

      
Application Number EP2023068637
Publication Number 2024/012966
Status In Force
Filing Date 2023-07-06
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jin, Shengcheng
  • Zhang, Datong
  • Zhu, Xuechen
  • Jen, Chih-Yu
  • Tang, Liang
  • Yeh, Hsiang Ting

Abstract

An improved method and system for transient defect inspection using an inspection image are disclosed. The method comprises acquiring a plurality of inspection images, generating an average image of the plurality of inspection images, detecting a first type defect in the average image, determining a mask area corresponding to the first type defect, and determining whether the plurality of inspection images have a second type defect in a non-masked area.

IPC Classes  ?

98.

CHARGED PARTICLE-OPTICAL APPARATUS

      
Application Number EP2023068952
Publication Number 2024/013042
Status In Force
Filing Date 2023-07-08
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Wieland, Marco, Jan-Jaco

Abstract

A charged particle-optical apparatus for assessing a sample at an assessment location, the charged particle-optical apparatus comprising: an assessment charged particle-optical device configured to project an assessment charged particle beam along an assessment beam path toward an assessment location, the assessment charged particle beam for assessing a sample at the assessment location; a preparatory charged particle-optical device configured to project a preparatory charged particle beam along a preparatory beam path, the preparatory charged particle beam for preparing a sample for assessment; and a light source configured to project a light beam toward an illumination location; wherein a locational relationship between the illumination location and the assessment charged particle-optical device is different from a locational relationship between the assessment location and the assessment charged particle-optical device.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams
  • G01R 31/00 - Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere

99.

METHOD AND SYSTEM FOR FINE FOCUSING SECONDARY BEAM SPOTS ON DETECTOR FOR MULTI-BEAM INSPECTION APPARATUS

      
Application Number EP2023069140
Publication Number 2024/013145
Status In Force
Filing Date 2023-07-11
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Krupin, Oleg
  • Ren, Weiming
  • Ji, Xiaoyu
  • Gong, Zizhou
  • Hu, Xuerang
  • Liu, Xuedong

Abstract

Systems and methods of measuring of optimizing collection efficiency of secondary charged particles include a multi-beam inspection apparatus (104) configured to scan (226) a sample (230) and including a lens (242), a detector (244) configured to receive a plurality of secondary charged-particle beams (236, 238, 240) in response to scanning the sample, and a controller (296) including circuitry communicatively coupled to the multi-beam inspection apparatus and the detector, configured to: focus the lens to adjust sizes of secondary beam spots, wherein the secondary beam spots are formed by the plurality of secondary charged-particle beams on the detector; cause, for each secondary charged-particle beam of the plurality of secondary charged-particle beams, outlier charged particles of the each secondary charged-particle beam to not be detected by the detector; and refocus the lens to adjust currents of a portion of the plurality of secondary charged-particle beams detected by the detector, wherein the outlier charged particles do not contribute to the currents.

IPC Classes  ?

  • H01J 37/21 - Means for adjusting the focus
  • H01J 37/244 - Detectors; Associated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopes; Electron- or ion-diffraction tubes with scanning beams

100.

OBTAINING HIGH RESOLUTION INFORMATION FROM LOW RESOLUTION IMAGES

      
Application Number EP2023069172
Publication Number 2024/013161
Status In Force
Filing Date 2023-07-11
Publication Date 2024-01-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Middlebrooks, Scott, Anderson
  • Van Kraaij, Markus, Gerardus, Martinus, Maria
  • Botari, Tiago
  • Tsiatmas, Anagnostis

Abstract

A method is proposed of using low-resolution images of at least one product produced by one or more imaging processes, and imaging models characterizing the imaging processes, to determine values for plurality of numerical parameters which collectively define a product model of the at least one product. The determination of the values is performed by forming a loss function based on the acquired images, the imaging models, and the numerical parameters of the model, and performing a minimization algorithm to minimize the loss function with respect to the numerical parameters. Due to prior knowledge of the product encoded in the loss function, the product model may comprise reconstructed images which have a higher resolution than the low-resolution images.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G06T 3/40 - Scaling of a whole image or part thereof
  • G06V 10/82 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
  1     2     3     ...     28        Next Page