Microchip Technology Incorporated

United States of America

Back to Profile

1-100 of 4,775 for Microchip Technology Incorporated and 14 subsidiaries Sort by
Query
Aggregations
IP Type
        Patent 4,640
        Trademark 135
Jurisdiction
        United States 2,955
        World 1,762
        Europe 34
        Canada 24
Owner / Subsidiary
[Owner] Microchip Technology Incorporated 2,647
Atmel Corporation 1,207
Silicon Storage Technology, Inc. 679
SMSC Holdings S.A.R.L. 52
Atmel Germany GmbH 50
See more
Date
New (last 4 weeks) 43
2024 April (MTD) 16
2024 March 34
2024 February 16
2024 January 18
See more
IPC Class
G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS 243
G06F 3/044 - Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means by capacitive means 172
H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched 171
H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate 165
G06F 3/041 - Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means 149
See more
NICE Class
09 - Scientific and electric apparatus and instruments 122
42 - Scientific, technological and industrial services, research and design 47
16 - Paper, cardboard and goods made from these materials 22
41 - Education, entertainment, sporting and cultural services 18
40 - Treatment of materials; recycling, air and water treatment, 8
See more
Status
Pending 274
Registered / In Force 4,501
  1     2     3     ...     48        Next Page

1.

INTDRIVE

      
Application Number 1786984
Status Registered
Filing Date 2024-01-25
Registration Date 2024-01-25
Owner Microchip Technology Incorporated (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Hybrid power drive (hpd) modules; integrated power semiconductor devices; gate drive boards; gate drivers.

2.

ADAPTIVE BIAS DECODER FOR NON-VOLATILE MEMORY SYSTEM

      
Application Number 18536147
Status Pending
Filing Date 2023-12-11
First Publication Date 2024-04-18
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Hong, Stanley
  • Trinh, Stephen
  • Ly, Anh
  • Do, Nhan
  • Reiten, Mark

Abstract

In one example, a non-volatile memory system, comprises an array of non-volatile memory cells arranged in rows and columns, each non-volatile memory cell comprising a source and a drain; a plurality of bit lines, each of the plurality of bit lines coupled to the drain or each non-volatile memory cell in a column of non-volatile memory cells; a source line coupled to the source of each non-volatile memory cell; and an adaptive bias decoder for providing a voltage to an erase gate line of the array during an operation, wherein the adaptive bias decoder adjusts the voltage provided to the erase gate line in response to changes in a voltage of the source line.

IPC Classes  ?

  • G11C 16/08 - Address circuits; Decoders; Word-line control circuits
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G11C 16/24 - Bit-line control circuits

3.

INTEGRATED CIRCUIT PACKAGE INCLUDING AN NTEGRATED SHUNT RESISTOR

      
Application Number 18143414
Status Pending
Filing Date 2023-05-04
First Publication Date 2024-04-18
Owner Microchip Technology Incorporated (USA)
Inventor Steele, Gerald

Abstract

An integrated circuit (IC) package includes a partial leadframe including (a) a shunt resistor leadframe element including a pair of shunt resistor contacts and a shunt resistor conductively connected between the pair of shunt resistor contacts and (b) at least one external contact leadframe element separate from the shunt resistor leadframe element, the at least one external contact leadframe element allowing external contact to the IC package. The IC package also a mold encapsulation formed over the shunt resistor leadframe element, wherein the pair of shunt resistor contacts are externally contactable through the mold encapsulation.

IPC Classes  ?

  • G01R 1/20 - Modifications of basic electric elements for use in electric measuring instruments; Structural combinations of such elements with such instruments
  • G01R 1/04 - Housings; Supporting members; Arrangements of terminals
  • G01R 1/30 - Structural combination of electric measuring instruments with basic electronic circuits, e.g. with amplifier
  • G01R 19/32 - Compensating for temperature change

4.

INTELLIGENT SYSTEM TO IDENTIFY ACTIVITY IN A RECEPTICAL

      
Application Number US2023016356
Publication Number 2024/081037
Status In Force
Filing Date 2023-03-27
Publication Date 2024-04-18
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Villand, Emmanuel
  • Plantier, Jeremy

Abstract

A device comprising: a repository for an item, the repository having an item intake; a sensor that generates a signal corresponding to characteristics of an item in the repository; an artificial intelligence circuit that receives from the sensor the signal corresponding to characteristics of an item in the repository and that transmits an indicator signal indicative of the item in the repository; and an indicator that receives from the artificial intelligence circuit the indicator signal and that indicates the item in the repository based on the indicator signal.

IPC Classes  ?

  • G06Q 10/08 - Logistics, e.g. warehousing, loading or distribution; Inventory or stock management
  • G06Q 10/087 - Inventory or stock management, e.g. order filling, procurement or balancing against orders
  • G06Q 10/0875 - Itemisation or classification of parts, supplies or services, e.g. bill of materials

5.

APPARATUS AND METHOD FOR PROCESSING RECEIVE DATA IN A RECEIVE DATA PATH INCLUDING PARALLEL FEC DECODING

      
Application Number 18481359
Status Pending
Filing Date 2023-10-05
First Publication Date 2024-04-11
Owner Microchip Technology Incorporated (USA)
Inventor Akkem, Sailaja

Abstract

An apparatus comprises a data width converter and a forward error correction (FEC) decoder. The data width converter includes an input to receive an input data stream having an input bit width, a first output to produce a first output data stream having a first output bit width, and a second output to produce a second output data stream having at least a second output bit width. The FEC decoder includes an input to receive the second output data stream having the at least second output bit width. The FEC decoder includes an error correction output to produce one or more error correction values at least partially based on one or more FEC code words in the second output data stream. The one or more error correction values are for correction of one or more symbols, one or more partial symbols, or both, in the first output data stream having the first output bit width. In one or more examples, the data width converter is in a receive data path, and at least a portion of the FEC decoder is in parallel with the receive data path.

IPC Classes  ?

  • H03M 13/11 - Error detection or forward error correction by redundancy in data representation, i.e. code words containing more digits than the source words using block codes, i.e. a predetermined number of check bits joined to a predetermined number of information bits using multiple parity bits
  • H03M 13/00 - Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes

6.

APPARATUS AND METHOD FOR PROCESSING TRANSMIT DATA IN A TRANSMIT DATA PATH INCLUDING PARALLEL FEC ENCODING

      
Application Number US2023076129
Publication Number 2024/077168
Status In Force
Filing Date 2023-10-05
Publication Date 2024-04-11
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Akkem, Sailaja

Abstract

An apparatus comprises a data width converter and a forward error correction (FEC) encoder. The data width converter includes an input to receive an input data stream at an input bit width, a first output to produce a first output data stream at a first output bit width, and a second output to produce a second output data stream at a second output bit width. The FEC encoder includes an input to receive the second output data stream at the second output bit width. The FEC encoder includes an output to produce parity bits at least partially based on multiple received symbols of the second output data stream having the second output bit width. The parity7 bits for insertion in the first output data stream having the first output bit width. In one or more examples, the data width converter is in a transmit data path, and the FEC encoder is in parallel with the transmit data path.

IPC Classes  ?

  • H04L 1/00 - Arrangements for detecting or preventing errors in the information received

7.

APPARATUS AND METHOD FOR PROCESSING RECEIVE DATA IN A RECEIVE DATA PATH INCLUDING PARALLEL FEC DECODING

      
Application Number US2023076137
Publication Number 2024/077173
Status In Force
Filing Date 2023-10-05
Publication Date 2024-04-11
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Akkem, Sailaja

Abstract

An apparatus comprises a data width converter and a forward error correction (FEC) decoder. The data width converter includes an input to receive an input data stream having an input bit width, a first output to produce a first output data stream having a first output bit width, and a second output to produce a second output data stream having at least a second output bit width. The FEC decoder includes an input to receive the second output data stream having the at least second output bit width. The FEC decoder includes an error correction output to produce one or more error correction values at least partially based on one or more FEC code words in the second output data stream. The one or more error correction values are for correction of one or more symbols, one or more partial symbols, or both, in the first output data stream having the first output bit width. In one or more examples, the data width converter is in a receive data path, and at least a portion of the FEC decoder is in parallel with the receive data path.

IPC Classes  ?

  • H04L 1/00 - Arrangements for detecting or preventing errors in the information received

8.

ARTIFICIAL INTELLIGENCE SYSTEM TO IDENTIFY ACTIVITY IN A RECEPTICAL

      
Application Number 18095777
Status Pending
Filing Date 2023-01-11
First Publication Date 2024-04-11
Owner Microchip Technology Incorporated (USA)
Inventor
  • Villand, Emmanuel
  • Plantier, Jeremy

Abstract

A device comprising: a repository for an item, the repository having an item intake; a sensor that generates a signal corresponding to characteristics of an item in the repository; an artificial intelligence circuit that receives from the sensor the signal corresponding to characteristics of an item in the repository and that transmits an indicator signal indicative of the item in the repository; and an indicator that receives from the artificial intelligence circuit the indicator signal and that indicates the item in the repository based on the indicator signal.

IPC Classes  ?

  • B65G 1/137 - Storage devices mechanical with arrangements or automatic control means for selecting which articles are to be removed

9.

Authentication and Identification of Products

      
Application Number 18377357
Status Pending
Filing Date 2023-10-06
First Publication Date 2024-04-11
Owner Microchip Technology Incorporated (USA)
Inventor Hammill, Brian

Abstract

An apparatus comprising: a pin to connect to a resistor and a power source; a measurement circuit to measure a voltage at the pin; a circuit to determine a mapped identification value of the apparatus based upon the voltage at the pin, the mapped identification value coding the apparatus as an instance of a product from a set of products; and an authentication circuit. The authentication circuit: calculates an authentication code using the mapped identification value; and provides the authentication code to an authentication host upon request from the authentication host.

IPC Classes  ?

  • G06Q 30/018 - Certifying business or products
  • H04L 9/32 - Arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system

10.

APPARATUS AND METHOD FOR PROCESSING TRANSMIT DATA IN A TRANSMIT DATA PATH INCLUDING PARALLEL FEC ENCODING

      
Application Number 18481340
Status Pending
Filing Date 2023-10-05
First Publication Date 2024-04-11
Owner Microchip Technology Incorporated (USA)
Inventor Akkem, Sailaja

Abstract

An apparatus comprises a data width converter and a forward error correction (FEC) encoder. The data width converter includes an input to receive an input data stream at an input bit width, a first output to produce a first output data stream at a first output bit width, and a second output to produce a second output data stream at a second output bit width. The FEC encoder includes an input to receive the second output data stream at the second output bit width. The FEC encoder includes an output to produce parity bits at least partially based on multiple received symbols of the second output data stream having the second output bit width. The parity bits for insertion in the first output data stream having the first output bit width. In one or more examples, the data width converter is in a transmit data path, and the FEC encoder is in parallel with the transmit data path.

IPC Classes  ?

  • H03M 13/29 - Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes combining two or more codes or code structures, e.g. product codes, generalised product codes, concatenated codes, inner and outer codes
  • H03M 13/00 - Coding, decoding or code conversion, for error detection or error correction; Coding theory basic assumptions; Coding bounds; Error probability evaluation methods; Channel models; Simulation or testing of codes

11.

Programming of a Selected Non-volatile Memory Cell by Changing Programming Pulse Characteristics

      
Application Number 18530832
Status Pending
Filing Date 2023-12-06
First Publication Date 2024-04-11
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Lemke, Steven
  • Do, Nhan
  • Reiten, Mark

Abstract

In one example, a method comprises applying a first programming pulse to a terminal of a selected non-volatile memory cell; and applying a second programming pulse to the terminal of the selected non-volatile memory cell, wherein a magnitude of a voltage the second programming pulse is equal to or lower than a magnitude of a voltage of the first programming pulse; wherein the selected non-volatile memory cell is programmed to a target value by the first programming pulse and the second programming pulse.

IPC Classes  ?

  • G11C 16/10 - Programming or data input circuits
  • G06F 17/16 - Matrix or vector computation
  • G06N 3/0442 - Recurrent networks, e.g. Hopfield networks characterised by memory or gating, e.g. long short-term memory [LSTM] or gated recurrent units [GRU]
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron

12.

VOLTAGE GENERATOR FOR ANALOG NEURAL MEMORY ARRAY

      
Application Number 18538951
Status Pending
Filing Date 2023-12-13
First Publication Date 2024-04-11
Owner Silicon Stroage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Hong, Stanley
  • Trinh, Stephen
  • Lemke, Steven
  • Schneider, Louisa
  • Do, Nhan

Abstract

In one example, a system comprises an analog neural memory array comprising a plurality of non-volatile memory cells arranged into rows and columns; and a voltage generator to provide a voltage to one or more rows of the analog neural memory array, the voltage generator comprising a voltage ladder to generate a plurality of voltages according to a logarithmic formula.

IPC Classes  ?

  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means

13.

AUTHENTICATION AND IDENTIFICATION OF PRODUCTS

      
Application Number US2023034653
Publication Number 2024/076739
Status In Force
Filing Date 2023-10-06
Publication Date 2024-04-11
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Hammill, Brian

Abstract

An apparatus comprising: a pin to connect to a resistor and a power source; a measurement circuit to measure a voltage at the pin; a circuit to determine a mapped identification value of the apparatus based upon the voltage at the pin, the mapped identification value coding the apparatus as an instance of a product from a set of products; and an authentication circuit. The authentication circuit: calculates an authentication code using the mapped identification value; and provides the authentication code to an authentication host upon request from the authentication host.

IPC Classes  ?

  • G06F 21/44 - Program or device authentication
  • G06F 21/73 - Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information by creating or determining hardware identification, e.g. serial numbers

14.

Multiple Row Programming Operation In Artificial Neural Network Array

      
Application Number 18076129
Status Pending
Filing Date 2022-12-06
First Publication Date 2024-04-04
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Trinh, Stephen
  • Hong, Stanley
  • Vu, Thuan
  • Ly, Anh
  • Luo, Fan

Abstract

Numerous examples are disclosed of programming multiple rows in an array in an artificial neural network as part of a single programming operation. In one example, a method comprises ramping up an output of a high voltage generator to a first voltage level; while maintaining the output of the high voltage generator at the first voltage level, programming a plurality of words of K rows of memory cells in an array of memory cells using the output of the high voltage generator, where K>1; and after the programming, ramping down the output of the high voltage generator to a second voltage level.

IPC Classes  ?

  • G11C 11/56 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G11C 16/10 - Programming or data input circuits

15.

ADAPTIVE BIAS DECODER FOR NON-VOLATILE MEMORY SYSTEM

      
Application Number 18536186
Status Pending
Filing Date 2023-12-11
First Publication Date 2024-04-04
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Hong, Stanley
  • Trinh, Stephen
  • Ly, Anh
  • Do, Nhan
  • Reiten, Mark

Abstract

In one example, a non-volatile memory system, comprises an array of non-volatile memory cells arranged in rows and columns, each non-volatile memory cell comprising a source and a drain; a plurality of bit lines, each of the plurality of bit lines coupled to the drain or each non-volatile memory cell in a column of non-volatile memory cells; a source line coupled to the source of each non-volatile memory cell; and an adaptive bias decoder for providing a voltage to the source line of the array during operation.

IPC Classes  ?

  • G11C 16/08 - Address circuits; Decoders; Word-line control circuits
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G11C 16/24 - Bit-line control circuits

16.

OUTPUT CIRCUIT FOR ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number 18077993
Status Pending
Filing Date 2022-12-08
First Publication Date 2024-04-04
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Trinh, Stephen
  • Hong, Stanley
  • Vu, Thuan
  • Le, Nghia
  • Pham, Hien

Abstract

Numerous examples are disclosed of output circuitry and associated methods in an artificial neural network. In one example, a system comprises an array of non-volatile memory cells arranged into rows and columns, an output block to convert current from columns of the array into a first digital output during a first time period and a second digital output during a second time period, a first output register to store the first digital output during the first time period and to output the stored first digital output during the second time period, and a second output register to store the second digital output during the second time period and to output the stored second digital output during a third time period.

IPC Classes  ?

  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G06F 5/01 - Methods or arrangements for data conversion without changing the order or content of the data handled for shifting, e.g. justifying, scaling, normalising
  • G06F 7/501 - Half or full adders, i.e. basic adder cells for one denomination

17.

INPUT CIRCUIT FOR ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number 18077686
Status Pending
Filing Date 2022-12-08
First Publication Date 2024-03-28
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Trinh, Stephen
  • Hong, Stanley
  • Vu, Thuan
  • Le, Nghia
  • Pham, Hien

Abstract

Numerous examples are disclosed of input circuitry and associated methods in an artificial neural network. In one example, a system comprises a plurality of address decoders to receive an address and output a plurality of row enabling signals in response to the address; a first plurality of registers to store, sequentially, activation data in response to the plurality of row enabling signals; and a second plurality of registers to store, in parallel, activation data received from the first plurality of registers.

IPC Classes  ?

18.

ADAPTIVE BIAS DECODER FOR NON-VOLATILE MEMORY SYSTEM

      
Application Number 18536123
Status Pending
Filing Date 2023-12-11
First Publication Date 2024-03-28
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Hong, Stanley
  • Trinh, Stephen
  • Ly, Anh
  • Do, Nhan
  • Reiten, Mark

Abstract

In one example, a non-volatile memory system comprises an array of non-volatile memory cells arranged in rows and columns, each non-volatile memory cell comprising a source and a drain; a plurality of bit lines, each of the plurality of bit lines coupled to the drain of each non-volatile memory cell in a column of non-volatile memory cells; a source line coupled to the source of each non-volatile memory cell; and an adaptive bias decoder for providing a voltage to a word line of the array during an operation, wherein the adaptive bias decoder adjusts the voltage provided to the word line in response to changes in a voltage of the source line.

IPC Classes  ?

  • G11C 16/08 - Address circuits; Decoders; Word-line control circuits
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G11C 16/24 - Bit-line control circuits

19.

SELECTIVELY ENCODING OR DECODING PIXELS OF AN IMAGE VIA RUN-LENGTH ENCODING OR DECODING OR GRADIENT ENCODING OR DECODING

      
Application Number US2023074694
Publication Number 2024/064755
Status In Force
Filing Date 2023-09-20
Publication Date 2024-03-28
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Kummermehr, Thorsten
  • Huber, Jan
  • Miller, Martin

Abstract

One or more examples relate to selectively line-based encoding pixels of an image via run-length encoding or gradient encoding. A method includes, for at least a portion of an image, determining a highest number of: a number of pixels in a run compressible via run-length encoding, and a number of pixels in a run compressible via gradient encoding; and selectively encoding at least some pixels of an image via the one of run-length encoding or gradient encoding corresponding to the determined highest number.

IPC Classes  ?

  • H04N 19/11 - Selection of coding mode or of prediction mode among a plurality of spatial predictive coding modes
  • G06T 9/00 - Image coding
  • H04N 19/146 - Data rate or code amount at the encoder output
  • H04N 19/182 - Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the coding unit, i.e. the structural portion or semantic portion of the video signal being the object or the subject of the adaptive coding the unit being a pixel
  • H04N 19/593 - Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using predictive coding involving spatial prediction techniques
  • H04N 19/93 - Run-length coding

20.

INPUT CIRCUIT FOR ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number US2022053133
Publication Number 2024/063793
Status In Force
Filing Date 2022-12-16
Publication Date 2024-03-28
Owner SILICON STORAGE TECHNOLOGY, INC. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Trinh, Stephen
  • Hong, Stanley
  • Le, Nghia
  • Pham, Hien

Abstract

Numerous examples are disclosed of input circuitry and associated methods in an artificial neural network. In one example, a system comprises a plurality of address decoders to receive an address and output a plurality of row enabling signals in response to the address; a first plurality of registers to store, sequentially, activation data in response to the plurality of row enabling signals; and a second plurality of registers to store, in parallel, activation data received from the first plurality of registers.

IPC Classes  ?

  • G11C 16/08 - Address circuits; Decoders; Word-line control circuits
  • G11C 16/32 - Timing circuits
  • G11C 8/18 - Address timing or clocking circuits; Address control signal generation or management, e.g. for row address strobe [RAS] or column address strobe [CAS] signals
  • G11C 8/06 - Address interface arrangements, e.g. address buffers
  • G11C 8/08 - Word line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, for word lines
  • G11C 8/04 - Arrangements for selecting an address in a digital store using a sequential addressing device, e.g. shift register, counter
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G11C 7/10 - Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers

21.

MULTIPLE ROW PROGRAMMING OPERATION IN ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number US2022053242
Publication Number 2024/063794
Status In Force
Filing Date 2022-12-16
Publication Date 2024-03-28
Owner SILICON STORAGE TECHNOLOGY, INC. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Trinh, Stephen
  • Hong, Stanley
  • Ly, Anh
  • Luo, Fan

Abstract

Numerous examples are disclosed of programming multiple rows in an array in an artificial neural network as part of a single programming operation. In one example, a method comprises ramping up an output of a high voltage generator to a first voltage level; while maintaining the output of the high voltage generator at the first voltage level, programming a plurality of words of K rows of memory cells in an array of memory cells using the output of the high voltage generator, where K > 1; and after the programming, ramping down the output of the high voltage generator to a second voltage level.

IPC Classes  ?

  • G11C 16/08 - Address circuits; Decoders; Word-line control circuits
  • G11C 16/10 - Programming or data input circuits
  • G11C 16/30 - Power supply circuits
  • G11C 16/32 - Timing circuits
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G11C 5/14 - Power supply arrangements
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention

22.

OUTPUT CIRCUIT FOR ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number US2022053249
Publication Number 2024/063795
Status In Force
Filing Date 2022-12-16
Publication Date 2024-03-28
Owner SILICON STORAGE TECHNOLOGY, INC. (USA)
Inventor
  • Tran, Hieu Van
  • Trinh, Stephen
  • Hong, Stanley
  • Vu, Thuan
  • Le, Nghia
  • Pham, Hien

Abstract

Numerous examples are disclosed of output circuitry and associated methods in an artificial neural network. In one example, a system comprises an array of non-volatile memory cells arranged into rows and columns, an output block to convert current from columns of the array into a first digital output during a first time period and a second digital output during a second time period, a first output register to store the first digital output during the first time period and to output the stored first digital output during the second time period, and a second output register to store the second digital output during the second time period and to output the stored second digital output during a third time period.

IPC Classes  ?

  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G06N 3/0464 - Convolutional networks [CNN, ConvNet]
  • G06N 3/0442 - Recurrent networks, e.g. Hopfield networks characterised by memory or gating, e.g. long short-term memory [LSTM] or gated recurrent units [GRU]
  • G06N 3/048 - Activation functions

23.

MODULATING POWER CONSUMPTION FROM A POWER SOURCE THAT SUPPLIES A DATA-DEPENDENT POWER CONSUMER

      
Application Number 18473081
Status Pending
Filing Date 2023-09-22
First Publication Date 2024-03-28
Owner Microchip Technology Incorporated (Azerbaijan)
Inventor
  • Leung, Herman Hok Man
  • Zavari, Rod
  • Acimovic, Predrag

Abstract

A method may include setting a data pattern status signal to indicate a data pattern status of a data pattern to be received by a data-dependent power consumer; and modulating power consumption from a power source that provides the data-dependent power consumer at least partially based on the set data pattern status signal.

IPC Classes  ?

  • H04L 7/04 - Speed or phase control by synchronisation signals
  • H04L 7/033 - Speed or phase control by the received code signals, the signals containing no special synchronisation information using the transitions of the received signal to control the phase of the synchronising-signal- generating means, e.g. using a phase-locked loop
  • H04L 25/49 - Transmitting circuits; Receiving circuits using three or more amplitude levels

24.

VERIFICATION METHOD AND SYSTEM IN ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number 18080545
Status Pending
Filing Date 2022-12-13
First Publication Date 2024-03-28
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Trinh, Stephen
  • Hong, Stanley
  • Vu, Thuan
  • Nguyen, Duc
  • Pham, Hien Ho

Abstract

Numerous examples are disclosed of verification circuitry and associated methods in an artificial neural network. In one example, a system comprises a vector-by-matrix multiplication array comprising a plurality of non-volatile memory cells arranged in rows and columns, the non-volatile memory cells respectively capable of storing one of N possible levels corresponding to one of N possible currents, and a plurality of output blocks to receive current from respective columns of the vector-by-matrix multiplication array and generate voltages during a verify operation of the vector-by-matrix multiplication and generate digital outputs during a read operation of the vector-by-matrix multiplication.

IPC Classes  ?

  • G06F 17/16 - Matrix or vector computation
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means

25.

MODULATING POWER CONSUMPTION FROM A POWER SOURCE THAT SUPPLIES A DATA-DEPENDENT POWER CONSUMER

      
Application Number US2023074943
Publication Number 2024/064920
Status In Force
Filing Date 2023-09-22
Publication Date 2024-03-28
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Leung, Herman Hok Man
  • Zavari, Rod
  • Acimovic, Predrag

Abstract

A method may include setting a data pattern status signal to indicate a data pattern status of a data pattern to be received by a data-dependent power consumer; and modulating power consumption from a power source that provides the data-dependent power consumer at least partially based on the set data pattern status signal.

IPC Classes  ?

  • G06F 1/3203 - Power management, i.e. event-based initiation of a power-saving mode
  • G06F 1/3206 - Monitoring of events, devices or parameters that trigger a change in power modality
  • G06F 1/3215 - Monitoring of peripheral devices
  • G06F 1/3234 - Power saving characterised by the action undertaken
  • G06F 1/3296 - Power saving characterised by the action undertaken by lowering the supply or operating voltage
  • G06F 1/30 - Means for acting in the event of power-supply failure or interruption, e.g. power-supply fluctuations

26.

VERIFICATION METHOD AND SYSTEM IN ARTIFICIAL NEURAL NETWORK ARRAY

      
Application Number US2022053084
Publication Number 2024/063792
Status In Force
Filing Date 2022-12-15
Publication Date 2024-03-28
Owner SILICON STORAGE TECHNOLOGY, INC. (USA)
Inventor
  • Tran, Hieu Van
  • Trinh, Stephen
  • Hong, Stanley
  • Vu, Thuan
  • Nguyen, Duc
  • Pham, Hien

Abstract

of the vector-by-matrix multiplication and generate digital outputs during a read operation of the vector-by-matrix multiplication.

IPC Classes  ?

  • G06N 3/065 - Analogue means
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron

27.

SCALABLE COMMON VIEW TIME TRANSFER AND RELATED APPARATUSES AND METHODS

      
Application Number 18511689
Status Pending
Filing Date 2023-11-16
First Publication Date 2024-03-21
Owner Microchip Technology Incorporated (USA)
Inventor Zampetti, George

Abstract

Common view time transfer and related apparatuses and methods are disclosed. An apparatus includes a receiver oscillator to provide a local clock signal and one or more processors. The one or more processors are to perform, at least partially based on the local clock signal, event time tagging pre-processing at least partially responsive to satellite signals received from one or more satellites to generate a decimated precision correction state estimate; determine, per satellite signal pseudo range residuals; determine a navigation engine clock state; perform a precision clock state pre-processing operation at least partially responsive to the navigation engine clock state and the decimated precision correction state estimate to generate a precision navigation clock state; and generate a common view real time report at least partially responsive to the per satellite signal pseudo range residuals and the precision navigation clock state.

IPC Classes  ?

  • G01S 19/25 - Acquisition or tracking of signals transmitted by the system involving aiding data received from a cooperating element, e.g. assisted GPS
  • G01S 19/23 - Testing, monitoring, correcting or calibrating of a receiver element
  • G01S 19/39 - Determining a navigation solution using signals transmitted by a satellite radio beacon positioning system the satellite radio beacon positioning system transmitting time-stamped messages, e.g. GPS [Global Positioning System], GLONASS [Global Orbiting Navigation Satellite System] or GALILEO

28.

NEURAL NETWORK DEVICE

      
Application Number 18520500
Status Pending
Filing Date 2023-11-27
First Publication Date 2024-03-21
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Hong, Stanley
  • Ly, Anh
  • Vu, Thuan
  • Pham, Hien
  • Nguyen, Kha
  • Tran, Han

Abstract

In one example, a neural network device comprises a first plurality of synapses configured to receive a first plurality of inputs and to generate therefrom a first plurality of outputs, wherein the first plurality of synapses comprises a plurality of memory cells, each of the plurality of memory cells configured to store a weight value corresponding to a number of electrons on its floating gate and the plurality of memory cells are configured to generate the first plurality of outputs based upon the first plurality of inputs and the stored weight values.

IPC Classes  ?

29.

INTEGRATED RESISTOR

      
Application Number US2023015076
Publication Number 2024/058818
Status In Force
Filing Date 2023-03-13
Publication Date 2024-03-21
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Leng, Yaojian

Abstract

An integrated resistor includes a resistor tub, a resistive element, and a dielectric liner. The resistor tub is formed from a conformal metal, and includes a laterally-extending tub base and vertically-extending tub sidewalls extending upwardly from the laterally-extending tub base, wherein the laterally-extending tub base and vertically-extending tub sidewalls define in a resistor tub interior opening. The dielectric liner is formed in the resistor tub interior opening. The resistive element is formed over the dielectric liner in the resistor tub interior opening, and includes a pair of resistor heads connected by a laterally-extending resistor body. The dielectric liner electrically insulates the resistive element from the resistor tub.

IPC Classes  ?

  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 27/06 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
  • H10N 97/00 - Electric solid-state thin-film or thick-film devices, not otherwise provided for

30.

DETERMINING A LOCKED STATUS OF A CLOCK TRACKING CIRCUIT

      
Application Number US2023074003
Publication Number 2024/059586
Status In Force
Filing Date 2023-09-12
Publication Date 2024-03-21
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Roberts, William
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher

Abstract

An example apparatus includes a phase detector, a digital discriminator, and a logic circuit. A status signal of the phase detector is at least partially based on a phase relationship between a reference clock and a feedback clock, the feedback clock generated by a clock tracking circuit to track the reference clock. The digital discriminator may sample the status signal of the phase detector. The logic circuit may determine a locked status of the clock tracking circuit at least partially based on samples of the status signal of the phase detector.

IPC Classes  ?

  • H03L 7/087 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using at least two phase detectors or a frequency and phase detector in the loop
  • H03L 7/091 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector using a sampling device
  • H03L 7/095 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a lock detector
  • H03L 7/089 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector generating up-down pulses

31.

WORD LINE DRIVER FOR VECTOR-BY-MATRIX MULTIPLICATION ARRAY

      
Application Number 18520277
Status Pending
Filing Date 2023-11-27
First Publication Date 2024-03-21
Owner Silicon Storage Technology, inc. (USA)
Inventor
  • Tran, Hieu Van
  • Hong, Stanley
  • Ly, Ahn
  • Vu, Thuan
  • Pham, Hien
  • Nguyen, Kha
  • Tran, Han

Abstract

In one example, a system comprises a vector-by-matrix multiplication array comprising non-volatile memory cells organized into rows and columns; a plurality of word lines coupled respectively to rows of the vector-by-matrix multiplication array; and a word line driver coupled to the plurality of word lines, the word line driver comprising a plurality of select transistors coupled to a common control line and the plurality of word lines, and a plurality of bias transistors coupled to the plurality of select transistors and capable of providing a bias voltage to a single select transistor in the plurality of select transistors or to all of plurality of select transistors in response to control signals.

IPC Classes  ?

32.

INPUT AND OUTPUT BLOCKS FOR AN ARRAY OF MEMORY CELLS

      
Application Number 18520526
Status Pending
Filing Date 2023-11-27
First Publication Date 2024-03-21
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Vu, Thuan
  • Trinh, Stephen
  • Hong, Stanley
  • Le, Toan
  • Le, Nghia
  • Pham, Hien

Abstract

In one example, a system comprises an array comprising selected memory cells; an input block configured to apply, to each selected memory cell, a series of input signals to a terminal of the selected memory cell in response to a series of input bits; and an output block for generating an output of the selected memory cells, the output block comprising an analog-to-digital converter to convert current from the selected memory cells into a digital value, a shifter, an adder, and a register; wherein the shifter, adder, and register are configured to receive a series of digital values in response to the series of input bits, shift each digital value in the series of digital values based on a bit location of an input bit within the series of input bits, and add results of the shift operations to generate an output indicating values stored in the selected memory cells.

IPC Classes  ?

  • H10B 41/42 - Simultaneous manufacture of periphery and memory cells
  • G06N 3/08 - Learning methods
  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
  • H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate

33.

OUTPUT CIRCUIT

      
Application Number 18522153
Status Pending
Filing Date 2023-11-28
First Publication Date 2024-03-21
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Tiwari, Vipin
  • Reiten, Mark
  • Do, Nhan

Abstract

In one example, a circuit comprises an input transistor comprising a first terminal, a second terminal coupled to ground, and a gate; a capacitor comprising a first terminal and a second terminal; an output transistor comprising a first terminal providing an output current, a second terminal coupled to ground, and a gate; a first switch; and a second switch; wherein in a first mode, the first switch is closed and couples an input current to the first terminal of the input transistor and the gate of the input transistor and the second switch is closed and couples the first terminal of the input transistor to the first terminal of the capacitor and the gate of the output transistor, and in a second mode, the first switch is open and the second switch is open and the capacitor discharges into the gate of the output transistor.

IPC Classes  ?

34.

FORMING A PARTIALLY SILICIDED ELEMENT

      
Application Number US2023015161
Publication Number 2024/058820
Status In Force
Filing Date 2023-03-14
Publication Date 2024-03-21
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Leng, Yaojian

Abstract

A method of forming a partially silicided element is provided. A silicided structure including a silicide layer on a base structure is formed. A dielectric region is formed over the silicided structure. The dielectric region is etched to form a contact opening exposing a first area of the silicide layer and a tub opening exposing a second area of the silicide layer. A conformal metal is deposited to (a) fill the contact opening to define a contact and (b) form a cup-shaped metal structure in the tub opening. Another etch is performed to remove the cup-shaped metal structure in the tub opening, to remove the underlying silicide layer second area and to expose an underlying area of the base structure, wherein the silicide layer first area remains intact. The base structure with the intact silicide layer first area and removed silicide layer second area defines the partially silicided element.

IPC Classes  ?

  • H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 21/8234 - MIS technology

35.

SINGLE AND DUAL EDGE TRIGGERED PHASE ERROR DETECTION

      
Application Number US2023074006
Publication Number 2024/059587
Status In Force
Filing Date 2023-09-12
Publication Date 2024-03-21
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Roberts, William
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher

Abstract

An example apparatus includes a phase detector and a phase error detector. The phase detector may set a status signal to indicate status of phase difference between a reference clock and a feedback clock, the feedback clock generated by a clock tracking circuit to track the reference clock. The phase error detector may set an error signal to be proportional to a phase difference between the reference clock and the feedback clock. At least partially responsive to the status signal, the phase error detector to change from triggered only by edges of the reference clock and feedback clock having a first polarity to triggered by edges of the reference clock and feedback clock having the first polarity and by edges of the reference clock and feedback clock having a second polarity, the second polarity different than the first polarity.

IPC Classes  ?

  • H03L 7/087 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using at least two phase detectors or a frequency and phase detector in the loop
  • H03L 7/091 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector using a sampling device
  • H03L 7/095 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a lock detector
  • H03L 7/089 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector generating up-down pulses

36.

SYSTEM AND METHODS FOR NETWORK DATA PROCESSING

      
Application Number 18098228
Status Pending
Filing Date 2023-01-18
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor Joergensen, Thomas

Abstract

A system for network data transactions, the system including an ingress port to receive data frames and timestamp received data frames, a frame analyzer to forward the data frames to a processor, the processor to extract timing information from the data frames and update the data frames based on updated timing calculations and output updated data frames via one or more egress ports. Data frames are timestamped at ingress and egress ports, and egress timestamps are saved in a timestamp memory. The system reduces overall network delays by using dedicated hardware and stored timestamp information.

IPC Classes  ?

37.

SYSTEM AND METHOD FOR FORWARDING NETWORK TRAFFIC

      
Application Number 18211310
Status Pending
Filing Date 2023-06-19
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor Ehlers, Kristian

Abstract

A device for control of network traffic may include a plurality of edge interface circuit and internal interface circuits each coupled to one or more network components. The device may prepend frame identification information to received data frames and remove duplicate data frames when identification information is detected multiple times. The device may store frame identification information in a non-transitory memory device and perform a lookup operation to identify duplicate data frames and eliminate loops in the network.

IPC Classes  ?

  • H04L 45/74 - Address processing for routing
  • H04L 1/00 - Arrangements for detecting or preventing errors in the information received
  • H04L 49/00 - Packet switching elements
  • H04L 69/22 - Parsing or analysis of headers

38.

DEVICE AND METHODS FOR SWITCH CONTROL

      
Application Number 18243723
Status Pending
Filing Date 2023-09-08
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Phoenix, Tim
  • Dumais, Alex
  • Oshea, Justin

Abstract

A device includes a PWM circuit to generate a complementary PWM signal comprised of a positive polarity PWM signal and a negative polarity PWM signal. The positive polarity signal may drive a high-side switch. A trigger multiplexer may take as input the negative polarity PWM signal and may force an output based on a predetermined condition, the predetermined condition including but not limited to the maximum on-time of a low-side switch. The output of the trigger multiplexer may drive a low-side switch. The high-side switch and the low-side switch may drive a load.

IPC Classes  ?

  • H03K 17/693 - Switching arrangements with several input- or output-terminals, e.g. multiplexers, distributors
  • H03K 17/687 - Electronic switching or gating, i.e. not by contact-making and -breaking characterised by the use of specified components by the use, as active elements, of semiconductor devices the devices being field-effect transistors

39.

SYSTEM AND METHOD FOR FORWARDING NETWORK TRAFFIC

      
Application Number US2023025820
Publication Number 2024/054283
Status In Force
Filing Date 2023-06-21
Publication Date 2024-03-14
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Ehlers, Kristian

Abstract

A device for control of network traffic may include a plurality of edge interface circuit and internal interface circuits each coupled to one or more network components. The device may prepend frame identification information to received data frames and remove duplicate data frames when identification information is detected multiple times. The device may store frame identification information in a non-transitory memory device and perform a lookup operation to identify duplicate data frames and eliminate loops in the network.

IPC Classes  ?

  • H04L 49/25 - Routing or path finding in a switch fabric

40.

CODING DATA INTO A HANDWRITTEN SAMPLE

      
Application Number US2023032160
Publication Number 2024/054549
Status In Force
Filing Date 2023-09-07
Publication Date 2024-03-14
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Stoia, Valentin

Abstract

Teachings of the present disclosure include systems and/or methods for encoding digital data into a handwritten sample. An example method includes: accessing a predetermined vibration pattern stored in a memory corresponding to defined data; and vibrating a stylus based on the predetermined vibration pattern during creation of the handwritten sample to encode the defined data into the handwriting sample.

IPC Classes  ?

  • G06F 3/01 - Input arrangements or combined input and output arrangements for interaction between user and computer
  • G06F 3/0354 - Pointing devices displaced or positioned by the user; Accessories therefor with detection of 2D relative movements between the device, or an operating part thereof, and a plane or surface, e.g. 2D mice, trackballs, pens or pucks
  • G06V 40/30 - Writer recognition; Reading and verifying signatures
  • H04L 9/32 - Arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system

41.

DEVICE AND METHODS FOR SWITCH CONTROL

      
Application Number US2023032277
Publication Number 2024/054620
Status In Force
Filing Date 2023-09-08
Publication Date 2024-03-14
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Phoenix, Tim
  • Dumais, Alex
  • Oshea, Justin

Abstract

A device includes a PWM circuit to generate a complementary PWM signal comprised of a positive polarity PWM signal and a negative polarity PWM signal. The positive polarity signal may drive a high-side switch. A trigger multiplexer may take as input the negative polarity PWM signal and may force an output based on a predetermined condition, the predetermined condition including but not limited to the maximum on-time of a low-side switch. The output of the trigger multiplexer may drive a low-side switch. The high-side switch and the low-side switch may drive a load.

IPC Classes  ?

  • H03K 17/0812 - Modifications for protecting switching circuit against overcurrent or overvoltage without feedback from the output circuit to the control circuit by measures taken in the control circuit
  • H03K 17/082 - Modifications for protecting switching circuit against overcurrent or overvoltage by feedback from the output to the control circuit

42.

SYSTEM AND METHODS FOR NETWORK DATA PROCESSING

      
Application Number US2023073642
Publication Number 2024/054912
Status In Force
Filing Date 2023-09-07
Publication Date 2024-03-14
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Joergensen, Thomas

Abstract

A system for network data transactions, the system including an ingress port to receive data frames and timestamp received data frames, a frame analyzer to forward the data frames to a processor, the processor to extract timing information from the data frames and update the data frames based on updated timing calculations and output updated data frames via one or more egress ports. Data frames are timestamped at ingress and egress ports, and egress timestamps are saved in a timestamp memory. The system reduces overall network delays by using dedicated hardware and stored timestamp information.

IPC Classes  ?

43.

INTEGRATED RESISTOR

      
Application Number 17988285
Status Pending
Filing Date 2022-11-16
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor Leng, Yaojian

Abstract

An integrated resistor includes a resistor tub, a resistive element, and a dielectric liner. The resistor tub is formed from a conformal metal, and includes a laterally-extending tub base and vertically-extending tub sidewalls extending upwardly from the laterally-extending tub base, wherein the laterally-extending tub base and vertically-extending tub sidewalls define in a resistor tub interior opening. The dielectric liner is formed in the resistor tub interior opening. The resistive element is formed over the dielectric liner in the resistor tub interior opening, and includes a pair of resistor heads connected by a laterally-extending resistor body. The dielectric liner electrically insulates the resistive element from the resistor tub.

IPC Classes  ?

  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 23/528 - Layout of the interconnection structure
  • H01L 27/06 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration

44.

FORMING A PARTIALLY SILICIDED ELEMENT

      
Application Number 18070748
Status Pending
Filing Date 2022-11-29
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor Leng, Yaojian

Abstract

A method of forming a partially silicided element is provided. A silicided structure including a silicide layer on a base structure is formed. A dielectric region is formed over the silicided structure. The dielectric region is etched to form a contact opening exposing a first area of the silicide layer and a tub opening exposing a second area of the silicide layer. A conformal metal is deposited to (a) fill the contact opening to define a contact and (b) form a cup-shaped metal structure in the tub opening. Another etch is performed to remove the cup-shaped metal structure in the tub opening, to remove the underlying silicide layer second area and to expose an underlying area of the base structure, wherein the silicide layer first area remains intact. The base structure with the intact silicide layer first area and removed silicide layer second area defines the partially silicided element.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/3205 - Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layers; After-treatment of these layers
  • H01L 21/321 - After-treatment
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

45.

Coding Data Into a Handwritten Sample

      
Application Number 18199403
Status Pending
Filing Date 2023-05-19
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor Stoia, Valentin

Abstract

Teachings of the present disclosure include systems and/or methods for encoding digital data into a handwritten sample. An example method includes: accessing a predetermined vibration pattern stored in a memory corresponding to defined data; and vibrating a stylus based on the predetermined vibration pattern during creation of the handwritten sample to encode the defined data into the handwriting sample.

IPC Classes  ?

  • G06V 30/224 - Character recognition characterised by the type of writing of printed characters having additional code marks or containing code marks
  • B43K 29/08 - Combinations of writing implements with other articles with measuring, computing or indicating devices
  • G06F 3/0346 - Pointing devices displaced or positioned by the user; Accessories therefor with detection of the device orientation or free movement in a 3D space, e.g. 3D mice, 6-DOF [six degrees of freedom] pointers using gyroscopes, accelerometers or tilt-sensors
  • G06F 3/0354 - Pointing devices displaced or positioned by the user; Accessories therefor with detection of 2D relative movements between the device, or an operating part thereof, and a plane or surface, e.g. 2D mice, trackballs, pens or pucks
  • G06F 3/038 - Control and interface arrangements therefor, e.g. drivers or device-embedded control circuitry

46.

DETERMINING A LOCKED STATUS OF A CLOCK TRACKING CIRCUIT

      
Application Number 18465887
Status Pending
Filing Date 2023-09-12
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor
  • Roberts, William
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher

Abstract

An example apparatus includes a phase detector, a digital discriminator, and a logic circuit. A status signal of the phase detector is at least partially based on a phase relationship between a reference clock and a feedback clock, the feedback clock generated by a clock tracking circuit to track the reference clock. The digital discriminator may sample the status signal of the phase detector. The logic circuit may determine a locked status of the clock tracking circuit at least partially based on samples of the status signal of the phase detector.

IPC Classes  ?

  • H03L 7/091 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector using a sampling device
  • H03L 7/093 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using special filtering or amplification characteristics in the loop
  • H03L 7/095 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a lock detector

47.

SINGLE AND DUAL EDGE TRIGGERED PHASE ERROR DETECTION

      
Application Number 18465898
Status Pending
Filing Date 2023-09-12
First Publication Date 2024-03-14
Owner Microchip Technology Incorporated (USA)
Inventor
  • Roberts, William
  • Fouzar, Youcef
  • El-Halwagy, Waleed
  • Kshonze, Kristopher

Abstract

An example apparatus includes a phase detector and a phase error detector. The phase detector may set a status signal to indicate status of phase difference between a reference clock and a feedback clock, the feedback clock generated by a clock tracking circuit to track the reference clock. The phase error detector may set an error signal to be proportional to a phase difference between the reference clock and the feedback clock. At least partially responsive to the status signal, the phase error detector to change from triggered only by edges of the reference clock and feedback clock having a first polarity to triggered by edges of the reference clock and feedback clock having the first polarity and by edges of the reference clock and feedback clock having a second polarity, the second polarity different than the first polarity.

IPC Classes  ?

  • H03L 7/087 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using at least two phase detectors or a frequency and phase detector in the loop
  • H03L 7/091 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector using a sampling device

48.

NEURAL NETWORK ARRAY COMPRISING ONE OR MORE COARSE CELLS AND ONE OR MORE FINE CELLS

      
Application Number 18139908
Status Pending
Filing Date 2023-04-26
First Publication Date 2024-03-07
Owner Silicon Storage Technology, Inc. (USA)
Inventor
  • Tran, Hieu Van
  • Hong, Stanley
  • Trinh, Stephen
  • Vu, Thuan
  • Lemke, Steven
  • Tiwari, Vipin
  • Do, Nhan

Abstract

In one example, a system comprises a neural network array of non-volatile memory cells arranged in rows and columns; and a logical cell comprising a first plurality of non-volatile memory cells in a first row of the array and a second plurality of non-volatile memory cells in a second row adjacent to the first row; wherein the first plurality of non-volatile memory cells and the second plurality of non-volatile memory cells are configured as one or more coarse cells and one or more fine cells.

IPC Classes  ?

  • G11C 16/10 - Programming or data input circuits
  • G06N 3/065 - Analogue means
  • G11C 11/56 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
  • G11C 16/14 - Circuits for erasing electrically, e.g. erase voltage switching circuits
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention

49.

DEVICE AND METHODS FOR DIGITAL SWITCHED CAPACITOR DC-DC CONVERTERS

      
Application Number US2023031888
Publication Number 2024/050112
Status In Force
Filing Date 2023-09-01
Publication Date 2024-03-07
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Kumar, Ajay
  • Walker, Paul
  • Omole, Ibiyemi
  • Meacham, Daniel
  • Madan, Arvind
  • Patel, Santosh

Abstract

A switched-capacitor DC-DC converter circuit may convert an input voltage into a desired output voltage level. A comparator may compare a desired voltage level to a divided version of the output voltage. A fully digital control circuit comprising a frequency divider circuit, a counter circuit, a digital control logic circuit and a gain selection circuit may generate a gain value, and a phase generator may convert the gain value into clock phase signals and control settings to control a switch array to select capacitors to produce a desired output voltage.

IPC Classes  ?

  • H02M 1/00 - APPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF - Details of apparatus for conversion
  • H02M 3/07 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using resistors or capacitors, e.g. potential divider using capacitors charged and discharged alternately by semiconductor devices with control electrode

50.

DEVICE AND METHODS FOR DIGITAL SWITCHED CAPACITOR DC-DC CONVERTERS

      
Application Number 18241551
Status Pending
Filing Date 2023-09-01
First Publication Date 2024-03-07
Owner Microchip Technology Incorporated (USA)
Inventor
  • Kumar, Ajay
  • Walker, Paul
  • Omole, Ibiyemi
  • Meacham, Daniel
  • Madan, Arvind
  • Patel, Santosh

Abstract

A switched-capacitor DC-DC converter circuit may convert an input voltage into a desired output voltage level. A comparator may compare a desired voltage level to a divided version of the output voltage. A fully digital control circuit comprising a frequency divider circuit, a counter circuit, a digital control logic circuit and a gain selection circuit may generate a gain value, and a phase generator may convert the gain value into clock phase signals and control settings to control a switch array to select capacitors to produce a desired output voltage.

IPC Classes  ?

  • H02M 3/07 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using resistors or capacitors, e.g. potential divider using capacitors charged and discharged alternately by semiconductor devices with control electrode
  • H02M 3/157 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators with digital control

51.

SETTING A PERFORMANCE MODE OF AN RF RECEIVER FRONTEND

      
Application Number US2023072675
Publication Number 2024/044602
Status In Force
Filing Date 2023-08-22
Publication Date 2024-02-29
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Pourbagheri, Saeed
  • Aly, Amr
  • Bagheri, Rahim
  • Kim, Hyunchul
  • Kim, Pansop
  • Liu, Sheng
  • Mehrjoo, Mohammad
  • Rajaee, Omid

Abstract

Examples relate to setting a performance mode of an RF receiver front end. An example method includes determining a power state of an input signal to an RF receiver front end; and setting a performance mode of the RF receiver front end to one of at least three distinct performance modes offered by the RF receiver front end at least partially responsive to the determined power state of the input signal.

IPC Classes  ?

  • H04B 1/10 - Means associated with receiver for limiting or suppressing noise or interference
  • H04B 1/40 - Circuits

52.

DETERMINATION OF A BIAS VOLTAGE TO APPLY TO ONE OR MORE MEMORY CELLS IN A NEURAL NETWORK

      
Application Number 18385281
Status Pending
Filing Date 2023-10-30
First Publication Date 2024-02-22
Owner Silicon Storage Technology, Inc. (USA)
Inventor Tran, Hieu Van

Abstract

A first example comprises programming a memory cell to store a value; applying a series of currents of increasing size to a bit line of the memory cell; and measuring a voltage of a control gate terminal of the memory cell to determine a bias. A second example comprises programming a memory cell to store a value; applying a predetermined current to a bit line of the memory cell; and measuring a voltage of a control gate terminal of the memory cell to determine a bias.

IPC Classes  ?

  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
  • G11C 16/10 - Programming or data input circuits
  • G11C 16/24 - Bit-line control circuits
  • G11C 16/26 - Sensing or reading circuits; Data output circuits

53.

DETERMINATION OF A BIAS VOLTAGE TO APPLY TO ONE OR MORE MEMORY CELLS

      
Application Number 18385256
Status Pending
Filing Date 2023-10-30
First Publication Date 2024-02-22
Owner Silicon Storage Technology, Inc. (USA)
Inventor Tran, Hieu Van

Abstract

In one example, a method comprises programming a memory cell capable of storing any of N values with 1 of the N values; applying a series of currents of increasing size to a bit line of the memory cell; comparing a voltage of the bit line to a reference voltage to generate a comparison output; and when the comparison output changes value, measuring a voltage of a control gate terminal of the memory cell and storing the voltage in a bias lookup table.

IPC Classes  ?

  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
  • G11C 16/10 - Programming or data input circuits
  • G11C 16/24 - Bit-line control circuits
  • G11C 16/26 - Sensing or reading circuits; Data output circuits

54.

ANNULAR KNOB-ON-DISPLAY DEVICES AND RELATED APPARATUSES

      
Application Number US2023072250
Publication Number 2024/040079
Status In Force
Filing Date 2023-08-15
Publication Date 2024-02-22
Owner
  • MICROCHIP TOUCH SOLUTIONS LIMITED (United Kingdom)
  • MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Hinson, Nigel

Abstract

Annular knob-on-display (KoD) devices and related apparatuses. An apparatus includes a frame having substantially annular shape, a dome switch, a plurality of actuator members, and a plurality of pivot members. Respective pivot members of the plurality of pivot members secures an actuator member of the plurality of actuator members to the frame and transfers force applied to the actuator member to the dome switch.

IPC Classes  ?

  • G06F 3/039 - Accessories therefor, e.g. mouse pads
  • H01H 25/06 - Operating part movable both angularly and rectilinearly, the rectilinear movement being along the axis of angular movement

55.

CAPACITIVELY DETERMINING QUANTITY OF PARTICULATE PRESENT IN A CHAMBER

      
Application Number US2023072398
Publication Number 2024/040178
Status In Force
Filing Date 2023-08-17
Publication Date 2024-02-22
Owner
  • MICROCHIP TECHNOLOGY INCORPORATED (USA)
  • MICROCHIP TOUCH SOLUTIONS LIMITED (United Kingdom)
Inventor Greaves, Colin

Abstract

An example relates to a method that includes capacitively determining a quantity of particulate present in an internal chamber of a housing structure while the housing structure receives a feed air stream to the internal chamber; and providing a value representing the measured quantity of particulate.

IPC Classes  ?

  • G01F 23/263 - Indicating or measuring liquid level or level of fluent solid material, e.g. indicating in terms of volume or indicating by means of an alarm by measuring physical variables, other than linear dimensions, pressure or weight, dependent on the level to be measured, e.g. by difference of heat transfer of steam or water by measuring variations of capacity or inductance of capacitors or inductors arising from the presence of liquid or fluent solid material in the electric or electromagnetic fields by measuring variations in capacitance of capacitors
  • G01F 1/74 - Devices for measuring flow of a fluid or flow of a fluent solid material in suspension in another fluid
  • G01F 22/00 - Methods or apparatus for measuring volume of fluids or fluent solid material, not otherwise provided for
  • G01N 15/06 - Investigating concentration of particle suspensions
  • A47L 9/28 - Installation of the electric equipment, e.g. adaptation or attachment to the suction cleaner; Controlling suction cleaners by electric means

56.

SYMBOL FILTERING AT A PHY-SIDE of PHY-MAC INTERFACE

      
Application Number 18146865
Status Pending
Filing Date 2022-12-27
First Publication Date 2024-02-15
Owner Microchip Technology Incorporated (USA)
Inventor
  • Baggett, William T.
  • Chen, Dixon
  • Iyer, Venkatraman

Abstract

Disclosed examples include a method. The method includes: conveying symbols from a PHY toward a MAC via a PHY-side of PHY-MAC interface; and filtering one or more symbols at an input of a PHY-side of an interface wrapper of the PHY-side of the PHY-MAC interface. Disclosed examples include an apparatus. The apparatus includes: a PHY-side of PHY-MAC interface; and a logic circuit provided at the PHY-side of PHY-MAC interface, the logic circuit comprising a symbol filter to filter one or more symbols conveyed via the PHY-side of PHY-MAC interface.

IPC Classes  ?

57.

REDUCE DCO FREQUENCY OVERLAP-INDUCED LIMIT CYCLE IN HYBRID AND DIGITAL PLLS

      
Application Number 18448783
Status Pending
Filing Date 2023-08-11
First Publication Date 2024-02-15
Owner Microchip Technology Incorporated (USA)
Inventor
  • Fouzar, Youcef
  • El-Halwagy, Waleed
  • Roberts, William
  • Kshonze, Kristopher
  • Warsalee, Faizal

Abstract

A method includes: observing that a digitally controlled oscillator (DCO) frequency is at a boundary of a DCO frequency overlap region; and bypassing at least a portion of the DCO frequency overlap region.

IPC Classes  ?

  • H03L 7/099 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
  • H03L 7/10 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop for assuring initial synchronisation or for broadening the capture range

58.

REDUCE DCO FREQUENCY OVERLAP-INDUCED LIMIT CYCLE IN HYBRID AND DIGITAL PLLS

      
Application Number US2023072107
Publication Number 2024/036322
Status In Force
Filing Date 2023-08-11
Publication Date 2024-02-15
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Fouzar, Youcef
  • El-Halwagy, Waleed
  • Roberts, William
  • Kshonze, Kristopher
  • Warsalee, Faizal

Abstract

A method includes: observing that a digitally controlled oscillator (DCO) frequency is at a boundary of a DCO frequency overlap region; and bypassing at least a portion of the DCO frequency overlap region.

IPC Classes  ?

  • H03L 7/093 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using special filtering or amplification characteristics in the loop

59.

SYSTEM FOR TRANSMITTING OBJECT RELATED DATA FROM A BASE UNIT TO A MOBILE UNIT THROUGH A PERSON'S BODY

      
Application Number 18228084
Status Pending
Filing Date 2023-07-31
First Publication Date 2024-02-15
Owner Microchip Technology Incorporated (USA)
Inventor Stoia, Valentin

Abstract

A system includes a base unit associated with an object, and a mobile unit carriable by a person. The base unit includes a base unit capacitive coupling element providing a base unit-human capacitive coupling between the base unit and the person, and the mobile unit includes a mobile unit capacitive coupling element providing a mobile unit-human capacitive coupling between the mobile unit and the person. The base unit-human capacitive coupling and mobile unit-human capacitive coupling enable a data transmission connection between the base unit and mobile unit that passes through the person's body. Base unit transmitter circuitry of the base unit transmits object related data via the data transmission connection passing through the person's body, mobile unit receiver circuitry of the mobile unit receives the object related data, and an output device of the mobile unit outputs human-perceptible signals based on the received object related data.

IPC Classes  ?

  • G06F 3/0362 - Pointing devices displaced or positioned by the user; Accessories therefor with detection of 1D translations or rotations of an operating part of the device, e.g. scroll wheels, sliders, knobs, rollers or belts
  • G06F 3/16 - Sound input; Sound output

60.

SYMBOL FILTERING AT A PHY-SIDE OF PHY-MAC INTERFACE

      
Application Number US2022082439
Publication Number 2024/035443
Status In Force
Filing Date 2022-12-27
Publication Date 2024-02-15
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Baggett, William
  • Chen, Dixon
  • Iyer, Venkatraman

Abstract

Disclosed examples include a method. The method includes: conveying symbols from a PHY toward a MAC via a PHY-side of PHY-MAC interface; and filtering one or more symbols at an input of a PHY-side of an interface wrapper of the PHY-side of the PHY-MAC interface. Disclosed examples include an apparatus. The apparatus includes: a PHY-side of PHY-MAC interface; and a logic circuit provided at the PHY-side of PHY-MAC interface, the logic circuit comprising a symbol filter to filter one or more symbols conveyed via the PHY-side of PHY-MAC interface.

IPC Classes  ?

  • G06F 13/36 - Handling requests for interconnection or transfer for access to common bus or bus system
  • G06F 13/376 - Handling requests for interconnection or transfer for access to common bus or bus system with decentralised access control using a contention resolving method, e.g. collision detection, collision avoidance
  • G06F 13/38 - Information transfer, e.g. on bus
  • G06F 13/40 - Bus structure
  • G06F 13/42 - Bus transfer protocol, e.g. handshake; Synchronisation
  • H04L 12/413 - Bus networks with decentralised control with random access, e.g. carrier-sense multiple-access with collision detection (CSMA-CD)
  • H04L 12/40 - Bus networks

61.

SYSTEM FOR TRANSMITTING OBJECT RELATED DATA FROM A BASE UNIT TO A MOBILE UNIT THROUGH A PERSON'S BODY

      
Application Number US2023030032
Publication Number 2024/035905
Status In Force
Filing Date 2023-08-11
Publication Date 2024-02-15
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Stoia, Valentin

Abstract

A system includes a base unit associated with an object, and a mobile unit carriable by a person. The base unit includes a base unit capacitive coupling element providing a base unit-human capacitive coupling between the base unit and the person, and the mobile unit includes a mobile unit capacitive coupling element providing a mobile unit-human capacitive coupling between the mobile unit and the person. The base unit-human capacitive coupling and mobile unit-human capacitive coupling enable a data transmission connection between the base unit and mobile unit that passes through the person's body. Base unit transmitter circuitry of the base unit transmits object related data via the data transmission connection passing through the person's body, mobile unit receiver circuitry of the mobile unit receives the object related data, and an output device of the mobile unit outputs human-perceptible signals based on the received object related data.

IPC Classes  ?

  • H04B 13/00 - Transmission systems characterised by the medium used for transmission, not provided for in groups
  • H04R 1/10 - Earpieces; Attachments therefor
  • G06F 3/01 - Input arrangements or combined input and output arrangements for interaction between user and computer
  • G06F 3/048 - Interaction techniques based on graphical user interfaces [GUI]
  • G06F 3/16 - Sound input; Sound output

62.

SYSTEM AND METHODS FOR MATRIX MULTIPLICATION

      
Application Number 18098296
Status Pending
Filing Date 2023-01-18
First Publication Date 2024-02-01
Owner Microchip Technology Incorporated (USA)
Inventor Curtis, Keith

Abstract

A peripheral device for matrix multiplication including a weight memory, an input memory, a multiplier, an accumulator, an output memory and a sequencer to generate signals to drive the input memory and the output memory and to generate an interrupt signal. The weight memory may be loaded with weights and biases for a matrix multiplication operation, and the multiplier and accumulator may implement the multiply and accumulator operations for a matrix multiplication operation. Data may be swapped between the input memory and output memory to reduce the memory required for matrix multiplication operations.

IPC Classes  ?

  • G06F 13/28 - Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access, cycle steal
  • G06F 7/523 - Multiplying only
  • G06F 7/50 - Adding; Subtracting

63.

SYSTEM AND METHODS FOR MATRIX MULTIPLICATION

      
Application Number US2023011816
Publication Number 2024/025618
Status In Force
Filing Date 2023-01-30
Publication Date 2024-02-01
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Curtis, Keith

Abstract

A peripheral device for matrix multiplication including a weight memory, an input memory, a multiplier, an accumulator, an output memory and a sequencer to generate signals to drive the input memory and the output memory and to generate an interrupt signal. The weight memory may be loaded with weights and biases for a matrix multiplication operation, and the multiplier and accumulator may implement the multiply and accumulator operations for a matrix multiplication operation. Data may be swapped between the input memory and output memory to reduce the memory required for matrix multiplication operations.

IPC Classes  ?

  • G06F 7/544 - Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using unspecified devices for evaluating functions by calculation

64.

POE PSE MPS SUPPORT FOR PSE VOLTAGE TRANSIENTS

      
Application Number US2023028931
Publication Number 2024/026066
Status In Force
Filing Date 2023-07-28
Publication Date 2024-02-01
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Langer, Tamir
  • Peker, Arkadiy

Abstract

An apparatus includes a power-over-Ethernet (POE) interface to be connected to a powered device (PD) over an Ethernet cable and a control circuit. The control circuit is to measure a voltage provided by the apparatus through the Ethernet cable, determine that the voltage has dropped by at least a given voltage change, based on a determination that the voltage has dropped by at least the given voltage change, determine whether or not a predetermined quantity of Maintain Power Signature (MPS) signals have been missed within a given time frame, and, based on a determination that the predetermined quantity of MPS signals has not been missed within the given time frame, determine that the PD is still connected to the apparatus.

IPC Classes  ?

65.

Using a Deadtime Interval for Back EMF Acquisition and Measurement

      
Application Number 18202368
Status Pending
Filing Date 2023-05-26
First Publication Date 2024-02-01
Owner Microchip Technology Incorporated (USA)
Inventor
  • Turcan, Gheorghe
  • Barbulescu, Grig

Abstract

An apparatus and method for determining electrical characteristics has an acquisition circuit and a control circuit. The control circuit causes a first modulation circuit to issue a first set of modulated signals to a first source of alternating current energy, wherein the first set of modulated signals has a first deadtime and wherein a high side switch and a low side switch of the first modulation circuit are turned off. The control circuit further causes the acquisition circuit to acquire a first electrical characteristic of the first source of alternating current energy from the first source of alternating current energy during the first deadtime.

IPC Classes  ?

  • H02P 6/182 - Circuit arrangements for detecting position without separate position detecting elements using back-emf in windings
  • H02P 6/10 - Arrangements for controlling torque ripple, e.g. providing reduced torque ripple
  • H02P 27/08 - Arrangements or methods for the control of AC motors characterised by the kind of supply voltage using variable-frequency supply voltage, e.g. inverter or converter supply voltage using dc to ac converters or inverters with pulse width modulation

66.

POE PSE MPS Support for PSE Voltage Transients

      
Application Number 18227336
Status Pending
Filing Date 2023-07-28
First Publication Date 2024-02-01
Owner Microchip Technology Incorporated (USA)
Inventor
  • Langer, Tamir
  • Peker, Arkadiy

Abstract

An apparatus includes a power-over-Ethernet (POE) interface to be connected to a powered device (PD) over an Ethernet cable and a control circuit. The control circuit is to measure a voltage provided by the apparatus through the Ethernet cable, determine that the voltage has dropped by at least a given voltage change, based on a determination that the voltage has dropped by at least the given voltage change, determine whether or not a predetermined quantity of Maintain Power Signature (MPS) signals have been missed within a given time frame, and, based on a determination that the predetermined quantity of MPS signals has not been missed within the given time frame, determine that the PD is still connected to the apparatus.

IPC Classes  ?

  • G06F 1/26 - Power supply means, e.g. regulation thereof

67.

USING A DEADTIME INTERVAL FOR BACK EMF ACQUISITION AND MEASUREMENT

      
Application Number US2023028775
Publication Number 2024/025982
Status In Force
Filing Date 2023-07-27
Publication Date 2024-02-01
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Turcan, Gheorghe
  • Barbulescu, Grig

Abstract

An apparatus and method for determining electrical characteristics has an acquisition circuit and a control circuit. The control circuit causes a first modulation circuit to issue a first set of modulated signals to a first source of alternating current energy, wherein the first set of modulated signals has a first deadtime and wherein a high side switch and a low side switch of the first modulation circuit are turned off. The control circuit further causes the acquisition circuit to acquire a first electrical characteristic of the first source of alternating current energy from the first source of alternating current energy during the first deadtime.

IPC Classes  ?

  • H02P 6/182 - Circuit arrangements for detecting position without separate position detecting elements using back-emf in windings

68.

MANAGING POWER STATE AT A PHYSICAL LAYER

      
Application Number 18479631
Status Pending
Filing Date 2023-10-02
First Publication Date 2024-01-25
Owner Microchip Technology Incorporated (USA)
Inventor
  • Baggett, William T.
  • Iyer, Venkatraman

Abstract

An apparatus may include a physical layer device, a detection circuitry and a power control circuitry. the physical layer device provides one or more functions of a physical layer to interface with a shared physical transmission medium. The detection circuitry detects an indication of power control signaling on the shared physical transmission medium, and detects an indication of Ethernet signaling on the shared physical transmission medium. The indication of power control signaling is different than the indication of Ethernet signaling. The power control circuitry manages a power state of the apparatus at least partially responsive to an output of the detection circuitry.

IPC Classes  ?

  • H04L 12/12 - Arrangements for remote connection or disconnection of substations or of equipment thereof
  • G06F 1/3209 - Monitoring remote activity, e.g. over telephone lines or network connections

69.

RECEIVER PROCESSING CIRCUITRY FOR MOTION DETECTION AND RELATED SYSTEMS, METHODS, AND APPARATUSES

      
Application Number 18474015
Status Pending
Filing Date 2023-09-25
First Publication Date 2024-01-25
Owner Microchip Technology Incorporated (USA)
Inventor Sauer, Peter

Abstract

Motion detection apparatuses are disclosed. The motion detection may be performed using one or more of a sub-window of a predetermined time window, a predetermined threshold value that is settable responsive to changes in one or more environmental factors, or a detection trigger. An apparatus includes a processor and an analog-to-digital converter (ADC) circuitry to sample a reflected predetermined pattern signal to generate reflected predetermined pattern samples. The processor captures collections of the reflected predetermined pattern samples corresponding to a predetermined time window and determines a sum of the collections or sub-collections. The processor determines an average of magnitudes of the determined sum and determines that a moving object is detected responsive to a predetermined threshold value.

IPC Classes  ?

  • G01S 13/58 - Velocity or trajectory determination systems; Sense-of-movement determination systems
  • G01S 13/62 - Sense-of-movement determination
  • G01S 7/292 - Extracting wanted echo-signals

70.

SYSTEM AND METHODS FOR RAMP CONTROL

      
Application Number 18095933
Status Pending
Filing Date 2023-01-11
First Publication Date 2024-01-18
Owner Microchip Technology Incorporated (USA)
Inventor
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Day, John
  • Dumais, Alex
  • Oshea, Justin

Abstract

A device including an input to receive a clock signal, a ramp start program register, a ramp start active register, a ramp stop program register, a ramp stop active register, a ramp slope program register, a ramp slope active register, an update controller, the update controller to update, based on a programmable condition, respectively, the ramp start active register contents, the ramp stop active register contents and the ramp slope active register contents, and a ramp controller to generate a ramp signal, the ramp signal to begin at the value reflective of the ramp start active register contents, the ramp signal to change value at each cycle of the clock signal based on the value reflective of the ramp slope active register contents, and the ramp signal to stop at the value reflective of the ramp stop active register contents.

IPC Classes  ?

  • H03M 1/56 - Input signal compared with linear ramp

71.

SYSTEM AND METHODS FOR RAMP CONTROL

      
Application Number US2023010786
Publication Number 2024/015120
Status In Force
Filing Date 2023-01-13
Publication Date 2024-01-18
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Day, John
  • Dumais, Alex
  • Oshea, Justin

Abstract

A device (104) including an input (210) to receive a clock signal (285), a ramp start program register (220), a ramp start active register (260), a ramp stop program register (221), a ramp stop active register (261), a ramp slope program register (222), a ramp slope active register (262), an update controller (240), the update controller to update, based on a programmable condition, respectively, the ramp start active register contents, the ramp stop active register contents and the ramp slope active register contents, and a ramp controller (280) to generate a ramp signal (290), the ramp signal to begin at the value reflective of the ramp start active register contents, the ramp signal to change value at each cycle of the clock signal based on the value reflective of the ramp slope active register contents, and the ramp signal to stop at the value reflective of the ramp stop active register contents.

IPC Classes  ?

  • H03K 4/02 - Generating pulses having essentially a finite slope or stepped portions having stepped portions, e.g. staircase waveform
  • H03K 7/08 - Duration or width modulation

72.

MSIC

      
Application Number 1770184
Status Registered
Filing Date 2023-11-14
Registration Date 2023-11-14
Owner Microchip Technology Incorporated (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Transistors; diodes; gate drivers; memory modules; rectifier modules; integrated circuit modules; integrated circuits; semiconductors; downloadable software evaluation kits; microprocessor evaluation kits; gate driver development kits; computer reference designs for electronics, namely, wireless receivers, solar breakers, power supplies, electronic circuit boards, microprocessors, computer data servers, electronic cables, and downloadable software for assisting product developers to design new computer hardware and software products; pre-assembled demonstrator boards; silicon carbide diodes; semiconductor wafers, namely, silicon carbide semiconductor wafers; insulated gate bipolar transistors.

73.

REDUCING DUTY CYCLE MISMATCH OF CLOCKS FOR CLOCK TRACKING CIRCUITS

      
Application Number 18167722
Status Pending
Filing Date 2023-02-10
First Publication Date 2024-01-04
Owner Microchip Technology Incorporated (USA)
Inventor
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher
  • Roberts, William
  • Warsalee, Faizal

Abstract

One or more examples relate to a method. The method may include: comparing a first value and a second value, the first value representing a duty cycle of a reference clock and the second value representing a duty cycle of an output clock generated by a clock tracking circuit to track the reference clock; setting a duty cycle of a changed clock to reduce duty cycle mismatch between the reference clock and the output clock indicated by the comparing; and providing the changed clock having set duty cycle in lieu of the one of the reference clock or the output clock.

IPC Classes  ?

  • H03L 7/081 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop provided with an additional controlled phase shifter
  • H03K 5/156 - Arrangements in which a continuous pulse train is transformed into a train having a desired pattern

74.

PWM to Control LLC Power Converter

      
Application Number 18217053
Status Pending
Filing Date 2023-06-30
First Publication Date 2024-01-04
Owner Microchip Technology Incorporated (USA)
Inventor
  • Dumais, Alex
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Phoenix, Timothy
  • Oshea, Justin

Abstract

An inductor-inductor-capacitor (LLC) power converter includes a current input interface to receive a current input indication. The current input indication includes a voltage to represent a current passing through of a primary side of the LLC power converter. The LLC power converter includes voltage input interface to receive a voltage input. The voltage input is to include a representative voltage to be provided from a secondary side of the LLC power converter. The LLC power converter includes a control circuit to generate pulsed-width modulation (PWM) control signals for the LLC power converter. The control circuit is to match an on-time period of a first leg and a second leg of the LLC power converter and based upon the current input indication and the voltage input.

IPC Classes  ?

  • H02M 1/088 - Circuits specially adapted for the generation of control voltages for semiconductor devices incorporated in static converters for the simultaneous control of series or parallel connected semiconductor devices
  • H02M 3/00 - Conversion of dc power input into dc power output
  • H02M 3/335 - Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only

75.

TRIGGERING AN ERROR DETECTOR ON RISING AND FALLING EDGES OF CLOCK SIGNALS, AND GENERATING AN ERROR SIGNAL THEREFROM

      
Application Number 18333827
Status Pending
Filing Date 2023-06-13
First Publication Date 2024-01-04
Owner Microchip Technology Incorporated (USA)
Inventor
  • Fouzar, Youcef
  • El-Halwagy, Waleed
  • Roberts, William
  • Kshonze, Kristopher
  • Warsalee, Faizal

Abstract

One or more examples relate to triggering a single error detector on rising and falling edges of clock signals, and generating an error signal therefrom. A method may include receiving a first clock signal and a second clock signal. The method may include generating, via a single error detector being triggered at least partially responsive to like respective edges of the first clock signal and the second clock signal, an error signal that represents a phase difference between the first clock signal and the second clock signal.

IPC Classes  ?

  • G06F 1/12 - Synchronisation of different clock signals
  • G06F 1/10 - Distribution of clock signals

76.

MULTI-CAPACITOR MODULE INCLUDING A NESTED METAL-INSULATOR-METAL (MIM) STRUCTURE

      
Application Number US2022053770
Publication Number 2024/005859
Status In Force
Filing Date 2022-12-22
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Leng, Yaojian

Abstract

A multi-capacitor module includes a nested metal-insulator-metal (MIM) structure including a cup-shaped first electrode, a cup-shaped first insulator formed over the cup-shaped first electrode, a cup-shaped second electrode formed over the cup-shaped first insulator, a cup-shaped second insulator formed over the cup-shaped second electrode, and a third electrode formed over the cup-shaped second insulator. The cup-shaped first electrode, the cup-shaped second electrode, and the cup-shaped first insulator define a first capacitor, and the cup-shaped second electrode, the third electrode, and the cup-shaped second insulator define a second capacitor physically nested in the first capacitor.

IPC Classes  ?

  • H10N 97/00 - Electric solid-state thin-film or thick-film devices, not otherwise provided for
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

77.

REDUCING DUTY CYCLE MISMATCH OF CLOCKS FOR CLOCK TRACKING CIRCUITS

      
Application Number US2023062426
Publication Number 2024/006590
Status In Force
Filing Date 2023-02-10
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher
  • Roberts, William
  • Warsalee, Faizal

Abstract

One or more examples relate to a method. The method may include: comparing a first value and a second value, the first value representing a duty cycle of a reference clock and the second value representing a duty cycle of an output clock generated by a clock tracking circuit to track the reference clock; setting a duty cycle of a changed clock to reduce duty cycle mismatch between the reference clock and the output clock indicated by the comparing; and providing the changed clock having set duty cycle in lieu of the one of the reference clock or the output clock.

IPC Classes  ?

  • H03L 7/081 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop provided with an additional controlled phase shifter
  • H03K 5/156 - Arrangements in which a continuous pulse train is transformed into a train having a desired pattern

78.

TIMESTAMP AT A PARALLEL INTERFACE OF A SERDES COUPLING A PHY WITH A PHYSICAL TRANSMISSION MEDIUM

      
Application Number US2023069446
Publication Number 2024/006954
Status In Force
Filing Date 2023-06-29
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • De Koos, Andras
  • Lebel, Dany

Abstract

One or more examples relate, generally, to timestamp at a parallel interface of a SerDes for coupling a PHY with a physical transmission medium. In an example, an apparatus includes a SerDes to couple a PHY to a physical transmission medium; a hardware timestamp logic; a bit detector coupled to initiate the hardware timestamp logic at least partially responsive to observing an indicated bit at a parallel interface of the SerDes; and a logic circuit provided at a portion of the PHY, the logic circuit coupled to receive timestamps generated by hardware timestamp logic.

IPC Classes  ?

79.

REDUCING DUTY CYCLE MISMATCH OF CLOCK SIGNALS FOR CLOCK TRACKING CIRCUITS

      
Application Number 18167716
Status Pending
Filing Date 2023-02-10
First Publication Date 2024-01-04
Owner Microchip Technology Incorporated (USA)
Inventor
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher
  • Roberts, William
  • Warsalee, Faizal

Abstract

One or more examples relate to a method. The method includes: receiving up/down error signals indicative of duty cycle mismatch between a reference clock signal and a changed feedback clock signal that represents an output clock signal generated by a clock tracking circuit to track the reference clock signal; setting a duty cycle of a changed feedback clock signal to reduce duty cycle mismatch indicated by the up/down error signals; and providing the changed feedback clock having set duty cycle.

IPC Classes  ?

  • H03K 5/156 - Arrangements in which a continuous pulse train is transformed into a train having a desired pattern
  • H03K 7/08 - Duration or width modulation
  • H03K 5/135 - Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of time reference signals, e.g. clock signals

80.

TIMESTAMP AT A PARALLEL INTERFACE OF A SERDES COUPLING A PHY WITH A PHYSICAL TRANSMISSION MEDIUM

      
Application Number 18344752
Status Pending
Filing Date 2023-06-29
First Publication Date 2024-01-04
Owner Microchip Technology Incorporated (USA)
Inventor
  • De Koos, Andras
  • Lebel, Dany

Abstract

One or more examples relate, generally, to timestamp at a parallel interface of a SerDes for coupling a PHY with a physical transmission medium. In an example, an apparatus includes a SerDes to couple a PHY to a physical transmission medium; a hardware timestamp logic; a bit detector coupled to initiate the hardware timestamp logic at least partially responsive to observing an indicated bit at a parallel interface of the SerDes; and a logic circuit provided at a portion of the PHY, the logic circuit coupled to receive timestamps generated by hardware timestamp logic.

IPC Classes  ?

81.

MULTI-CAPACITOR MODULE INCLUDING A STACKED METAL-INSULATOR-METAL (MIM) STRUCTURE

      
Application Number 17881064
Status Pending
Filing Date 2022-08-04
First Publication Date 2024-01-04
Owner Microchip Technology Incorporated (USA)
Inventor Leng, Yaojian

Abstract

A multi-capacitor module includes a stacked metal-insulator-metal (MIM) structure including a cup-shaped first electrode, a cup-shaped first insulator formed over the cup-shaped first electrode, a cup-shaped second electrode formed over the cup-shaped first insulator, a cup-shaped second insulator formed over the cup-shaped second electrode, a third electrode formed over the cup-shaped second insulator. The stacked MIM structure also includes a first sidewall spacer located between the cup-shaped first electrode and the cup-shaped second electrode, and a second sidewall spacer located between the cup-shaped second electrode and the third electrode. The cup-shaped first electrode, the cup-shaped second electrode, and the cup-shaped first insulator define a first capacitor, and the cup-shaped second electrode, the third electrode, and the cup-shaped second insulator define a second capacitor.

IPC Classes  ?

82.

MULTI-CAPACITOR MODULE INCLUDING A STACKED METAL-INSULATOR-METAL (MIM) STRUCTURE

      
Application Number US2022053777
Publication Number 2024/005860
Status In Force
Filing Date 2022-12-22
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Leng, Yaojian

Abstract

A multi-capacitor module includes a stacked metal-insulator-metal (MIM) structure including a cup-shaped first electrode, a cup-shaped first insulator formed over the cup-shaped first electrode, a cup-shaped second electrode formed over the cup-shaped first insulator, a cup-shaped second insulator formed over the cup-shaped second electrode, a third electrode formed over the cup-shaped second insulator. The stacked MIM structure also includes a first sidewall spacer located between the cup-shaped first electrode and the cup-shaped second electrode, and a second sidewall spacer located between the cup-shaped second electrode and the third electrode. The cup-shaped first electrode, the cup-shaped second electrode, and the cup-shaped first insulator define a first capacitor, and the cup-shaped second electrode, the third electrode, and the cup-shaped second insulator define a second capacitor.

IPC Classes  ?

  • H10N 97/00 - Electric solid-state thin-film or thick-film devices, not otherwise provided for
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

83.

PWM TO CONTROL LLC POWER CONVERTER

      
Application Number US2023026743
Publication Number 2024/006530
Status In Force
Filing Date 2023-06-30
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Dumais, Alex
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Phoenix, Timothy
  • Oshea, Justin

Abstract

An inductor-inductor-capacitor (LLC) power converter includes a current input interface to receive a current input indication. The current input indication includes a voltage to represent a current passing through of a primary side of the LLC power converter. The LLC power converter includes voltage input interface to receive a voltage input. The voltage input is to include a representative voltage to be provided from a secondary side of the LLC power converter. The LLC power converter includes a control circuit to generate pulsed-width modulation (PWM) control signals for the LLC power converter. The control circuit is to match an on-time period of a first leg and a second leg of the LLC power converter and based upon the current input indication and the voltage input.

IPC Classes  ?

  • H02M 3/335 - Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only

84.

REDUCING DUTY CYCLE MISMATCH OF CLOCK SIGNALS FOR CLOCK TRACKING CIRCUITS

      
Application Number US2023062424
Publication Number 2024/006589
Status In Force
Filing Date 2023-02-10
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • El-Halwagy, Waleed
  • Fouzar, Youcef
  • Kshonze, Kristopher
  • Roberts, William
  • Warsalee, Faizal

Abstract

One or more examples relate to a method. The method includes: receiving up/down error signals indicative of duty cycle mismatch between a reference clock signal and a changed feedback clock signal that represents an output clock signal generated by a clock tracking circuit to track the reference clock signal; setting a duty cycle of a changed feedback clock signal to reduce duty cycle mismatch indicated by the up/down error signals; and providing the changed feedback clock having set duty cycle.

IPC Classes  ?

  • H03L 7/081 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop provided with an additional controlled phase shifter
  • H03K 5/156 - Arrangements in which a continuous pulse train is transformed into a train having a desired pattern

85.

TRIGGERING AN ERROR DETECTOR ON RISING AND FALLING EDGES OF CLOCK SIGNALS, AND GENERATING AN ERROR SIGNAL THEREFROM

      
Application Number US2023068338
Publication Number 2024/006614
Status In Force
Filing Date 2023-06-13
Publication Date 2024-01-04
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Fouzar, Youcef
  • El-Halwagy, Waleed
  • Roberts, William
  • Kshonze, Kristopher
  • Warsalee, Faizal

Abstract

One or more examples relate to triggering a single error detector on rising and falling edges of clock signals, and generating an error signal therefrom. A method may include receiving a first clock signal and a second clock signal. The method may include generating, via a single error detector being triggered at least partially responsive to like respective edges of the first clock signal and the second clock signal, an error signal that represents a phase difference between the first clock signal and the second clock signal.

IPC Classes  ?

  • H03L 7/089 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal the phase or frequency detector generating up-down pulses
  • H03L 7/081 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop provided with an additional controlled phase shifter

86.

GENERATING SYNC SIGNALS

      
Application Number 18318552
Status Pending
Filing Date 2023-05-16
First Publication Date 2023-12-28
Owner Microchip Technology Incorporated (USA)
Inventor Reddy, Battu Prakash

Abstract

Examples relate to generating sync signals. An example apparatus includes an output, an input and a circuit. The output provides a data-valid signal to a video source operative to provide video data to a video-data-processing pipeline. The input receives a delayed data-valid signal from the video-data-processing pipeline. The circuit to generate a delayed horizontal-sync signal and a delayed vertical-sync signal at least partially responsive to the received delayed data-valid signal.

IPC Classes  ?

  • H04N 21/43 - Processing of content or additional data, e.g. demultiplexing additional data from a digital video stream; Elementary client operations, e.g. monitoring of home network or synchronizing decoder's clock; Client middleware

87.

IRREGULAR-SHAPED CAPACITIVE SENSORS AND LOCATIONS OF TOUCH EVENTS AT THE SAME

      
Application Number US2023068687
Publication Number 2023/250303
Status In Force
Filing Date 2023-06-19
Publication Date 2023-12-28
Owner
  • MICROCHIP TOUCH SOLUTIONS LIMITED (United Kingdom)
  • MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Liddell, William J.
  • Hinson, Nigel

Abstract

A method includes: changing a geometry of a capacitive sensor design from a first geometry to a second geometry, the second geometry different than the first geometry; and obtaining executable instructions to transform a location identifier of a touch event from a first location identifier associated with the first geometry to a second location identifier associated with the second geometry.

IPC Classes  ?

  • G06F 3/041 - Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means
  • G06F 3/044 - Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means by capacitive means

88.

VAPOR CELLS AND RELATED SYSTEMS AND METHODS

      
Application Number 18465281
Status Pending
Filing Date 2023-09-12
First Publication Date 2023-12-28
Owner Microchip Technology Incorporated (USA)
Inventor
  • Lutwak, Robert
  • Chen, Bomy

Abstract

Vapor cells may include a body including a cavity within the body. A first substrate bonded to a second substrate at an interface within the body, at least one of the first substrate, the second substrate, or an interfacial material between the first and second substrates may define at least one recess or pore in a surface. A smallest dimension of the at least one recess or pore may be about 500 microns or less, as measured in a direction parallel to at least one surface of the first substrate partially defining the cavity.

IPC Classes  ?

  • H03L 7/26 - Automatic control of frequency or phase; Synchronisation using energy levels of molecules, atoms, or subatomic particles as a frequency reference

89.

MULTI-CAPACITOR MODULE INCLUDING A NESTED METAL-INSULATOR-METAL (MIM) STRUCTURE

      
Application Number 17874482
Status Pending
Filing Date 2022-07-27
First Publication Date 2023-12-28
Owner Microchip Technology Incorporated (USA)
Inventor Leng, Yaojian

Abstract

A multi-capacitor module includes a nested metal-insulator-metal (MIM) structure including a cup-shaped first electrode, a cup-shaped first insulator formed over the cup-shaped first electrode, a cup-shaped second electrode formed over the cup-shaped first insulator, a cup-shaped second insulator formed over the cup-shaped second electrode, and a third electrode formed over the cup-shaped second insulator. The cup-shaped first electrode, the cup-shaped second electrode, and the cup-shaped first insulator define a first capacitor, and the cup-shaped second electrode, the third electrode, and the cup-shaped second insulator define a second capacitor physically nested in the first capacitor.

IPC Classes  ?

  • H01L 49/02 - Thin-film or thick-film devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

90.

GENERATING SYNC SIGNALS

      
Application Number US2023067071
Publication Number 2023/250231
Status In Force
Filing Date 2023-05-16
Publication Date 2023-12-28
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Reddy, Battu Prakash

Abstract

Examples relate to generating sync signals. An example apparatus includes an output, an input and a circuit. The output provides a data-valid signal to a video source operative to provide video data to a video-data-processing pipeline. The input receives a delayed data-valid signal from the video-data-processing pipeline. The circuit to generate a delayed horizontal-sync signal and a delayed vertical-sync signal at least partially responsive to the received delayed data-valid signal.

IPC Classes  ?

  • G09G 5/00 - Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators
  • G09G 5/12 - Synchronisation between the display unit and other units, e.g. other display units, video-disc players
  • G09G 5/18 - Timing circuits for raster scan displays

91.

HIGH-LEVEL-SYNTHESIS FOR RISC-V SYSTEM-ON-CHIP GENERATION FOR FIELD PROGRAMMABLE GATE ARRAYS

      
Application Number US2023025511
Publication Number 2023/244774
Status In Force
Filing Date 2023-06-16
Publication Date 2023-12-21
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Choi, Jongsok
  • Ma, David
  • Lian, Ruolong

Abstract

An article of manufacture includes a medium with instructions that when read and executed by a processor, cause the processor to identify a code stream to be executed by a system-on-a-chip (SoC). The SoC is to include an open standard processor and hardware accelerators implemented in reprogrammable hardware. The processor is to, from the code stream, identify a first portion of the code stream to be executed as software by the open standard processor and a second portion to be executed in the accelerators, compile the first portion into a binary for execution by the open standard processor, and generate a hardware description for the second portion to be implemented by the hardware accelerators. The hardware description and the binary are to exchange data during execution of the code stream.

IPC Classes  ?

  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

92.

High-Level-Synthesis for RISC-V System-on-Chip Generation for Field Programmable Gate Arrays

      
Application Number 18208381
Status Pending
Filing Date 2023-06-12
First Publication Date 2023-12-21
Owner Microchip Technology Incorporated (USA)
Inventor
  • Choi, Jongsok
  • Ma, David
  • Lian, Ruolong

Abstract

An article of manufacture includes a medium with instructions that when read and executed by a processor, cause the processor to identify a code stream to be executed by a system-on-a-chip (SoC). The SoC is to include an open standard processor and hardware accelerators implemented in reprogrammable hardware. The processor is to, from the code stream, identify a first portion of the code stream to be executed as software by the open standard processor and a second portion to be executed in the accelerators, compile the first portion into a binary for execution by the open standard processor, and generate a hardware description for the second portion to be implemented by the hardware accelerators. The hardware description and the binary are to exchange data during execution of the code stream.

IPC Classes  ?

93.

INTEGRATED THERMOCOUPLE

      
Application Number US2023024508
Publication Number 2023/239681
Status In Force
Filing Date 2023-06-06
Publication Date 2023-12-14
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Leng, Yaojian

Abstract

A system includes a metal tub structure formed in an integrated circuit (IC) structure, a first metal component, and a second metal component. The first metal component is formed from a first metal. The first metal component is formed in an opening defined by the metal tub structure, and includes a first metal first junction element, a first metal second junction element, and a first metal bridge electrically connected to the first metal first junction element and the first metal second junction element. The second metal component is formed from a second metal different than the first metal, and includes a second metal first junction element electrically connected to the first metal first junction element to define a first thermocouple junction, and a second metal second junction element electrically connected to the first metal second junction element to define a second thermocouple junction.

IPC Classes  ?

  • G01K 7/02 - Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat using thermoelectric elements, e.g. thermocouples
  • H01L 23/532 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
  • H01L 27/06 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
  • H10N 19/00 - Integrated devices, or assemblies of multiple devices, comprising at least one thermoelectric or thermomagnetic element covered by groups
  • H01L 23/34 - Arrangements for cooling, heating, ventilating or temperature compensation

94.

METAL-INSULATOR-METAL (MIM) CAPACITOR MODULE

      
Application Number US2022036759
Publication Number 2023/239387
Status In Force
Filing Date 2022-07-12
Publication Date 2023-12-14
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor Leng, Yaojian

Abstract

A metal-insulator-metal (MIM) capacitor includes a bottom electrode, an insulator cup formed on the bottom electrode, a top electrode formed in an opening defined by the insulator cup, a top electrode connection element electrically connected to the top electrode, a vertically-extending bottom electrode contact electrically connected to the bottom electrode, and a bottom electrode connection element electrically connected to the vertically-extending bottom electrode contact. The bottom electrode is formed in a lower metal layer. The insulator cup is formed in a tub opening in a dielectric region and includes a laterally extending insulator cup base formed on the bottom electrode and a vertically-extending insulator cup sidewall extending upwardly from the laterally extending insulator cup base. The top electrode connection element and bottom electrode connection element are formed in an upper metal layer.

IPC Classes  ?

  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H10N 97/00 - Electric solid-state thin-film or thick-film devices, not otherwise provided for

95.

METAL-INSULATOR-METAL (MIM) CAPACITOR MODULE

      
Application Number 17856183
Status Pending
Filing Date 2022-07-01
First Publication Date 2023-12-07
Owner Microchip Technology Incorporated (USA)
Inventor Leng, Yaojian

Abstract

A metal-insulator-metal (MIM) capacitor includes a bottom electrode, an insulator cup formed on the bottom electrode, a top electrode formed in an opening defined by the insulator cup, a top electrode connection element electrically connected to the top electrode, a vertically-extending bottom electrode contact electrically connected to the bottom electrode, and a bottom electrode connection element electrically connected to the vertically-extending bottom electrode contact. The bottom electrode is formed in a lower metal layer. The insulator cup is formed in a tub opening in a dielectric region and includes a laterally extending insulator cup base formed on the bottom electrode and a vertically-extending insulator cup sidewall extending upwardly from the laterally extending insulator cup base. The top electrode connection element and bottom electrode connection element are formed in an upper metal layer.

IPC Classes  ?

  • H01L 49/02 - Thin-film or thick-film devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

96.

DEVICE AND METHODS FOR PHASE NOISE MEASUREMENT

      
Application Number 17952535
Status Pending
Filing Date 2022-09-26
First Publication Date 2023-12-07
Owner Microchip Technology Incorporated (USA)
Inventor
  • Jin, Gary Qu
  • Du Quesnay, Chris
  • Rahimi, Ehsan

Abstract

A device for measuring phase noise, including a sampler to sample an input signal, an input filter to receive an input from the sampler, a noise generator to generate a noise signal, a combiner to receive input from, respectively, the input filter and the noise generator, the combiner to output an integrated noise output measurement. The input filter may operate in either the time domain or the frequency domain. The noise generate may generate a noise signal based on the sampler output, or may generate a noise estimate value based on the sampler output.

IPC Classes  ?

  • G01R 29/26 - Measuring noise figure; Measuring signal-to-noise ratio

97.

PROGRAMMABLE FAULT VIOLATION FILTER

      
Application Number 18096163
Status Pending
Filing Date 2023-01-12
First Publication Date 2023-12-07
Owner Microchip Technology Incorporated (USA)
Inventor
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Dumais, Alex
  • Oshea, Justin
  • Rangarajan, Sankar

Abstract

A fault event monitor and filter having a digital comparator receiving a digital input value, wherein the digital comparator generates a plurality of outputs based on programmable threshold input values, a first counter coupled to a first output of the plurality of outputs of the digital comparator, a second counter coupled to a second output of the plurality of outputs of the digital comparator, and an output controller with a first input coupled to an output of the first counter and with a second input coupled to an output of the second counter, wherein the output controller to generate a fault event signal based at least partially on signals received from the first and second counters.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

98.

DEVICE AND METHODS FOR PHASE NOISE MEASUREMENT

      
Application Number US2022051211
Publication Number 2023/234963
Status In Force
Filing Date 2022-11-29
Publication Date 2023-12-07
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Jin, Gary Qu
  • Du Quesnay, Chris
  • Rahimi, Ehsan

Abstract

A device for measuring phase noise, including a sampler to sample an input signal, an input filter to receive an input from the sampler, a noise generator to generate a noise signal, a combiner to receive input from, respectively, the input filter and the noise generator, the combiner to output an integrated noise output measurement. The input filter may operate in either the time domain or the frequency domain. The noise generate may generate a noise signal based on the sampler output, or may generate a noise estimate value based on the sampler output.

IPC Classes  ?

99.

PROGRAMMABLE FAULT VIOLATION FILTER

      
Application Number US2023024295
Publication Number 2023/235569
Status In Force
Filing Date 2023-06-02
Publication Date 2023-12-07
Owner MICROCHIP TECHNOLOGY INCORPORATED (USA)
Inventor
  • Reiter, Andreas
  • Yuenyongsgool, Yong
  • Bowling, Stephen
  • Dumais, Alex
  • Oshea, Justin
  • Rangarajan, Sankar

Abstract

A fault event monitor and filter having a digital comparator receiving a digital input value, wherein the digital comparator generates a plurality of outputs based on programmable threshold input values, a first counter coupled to a first output of the plurality of outputs of the digital comparator, a second counter coupled to a second output of the plurality of outputs of the digital comparator, and an output controller with a first input coupled to an output of the first counter and with a second input coupled to an output of the second counter, wherein the output controller to generate a fault event signal based at least partially on signals received from the first and second counters.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

100.

INTEGRATED THERMOCOUPLE

      
Application Number 18120093
Status Pending
Filing Date 2023-03-10
First Publication Date 2023-12-07
Owner Microchip Technology Incorporated (USA)
Inventor Leng, Yaojian

Abstract

A system includes a metal tub structure formed in an integrated circuit (IC) structure, a first metal component, and a second metal component. The first metal component is formed from a first metal. The first metal component is formed in an opening defined by the metal tub structure, and includes a first metal first junction element, a first metal second junction element, and a first metal bridge electrically connected to the first metal first junction element and the first metal second junction element. The second metal component is formed from a second metal different than the first metal, and includes a second metal first junction element electrically connected to the first metal first junction element to define a first thermocouple junction, and a second metal second junction element electrically connected to the first metal second junction element to define a second thermocouple junction.

IPC Classes  ?

  • G01K 7/06 - Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials the thermoelectric materials being arranged one within the other with the junction at one end exposed to the object, e.g. sheathed type
  • G01K 7/02 - Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat using thermoelectric elements, e.g. thermocouples
  1     2     3     ...     48        Next Page