Taiwan Semiconductor Manufacturing Company, Ltd.

Taiwan, Province of China

Back to Profile

1-100 of 36,687 for Taiwan Semiconductor Manufacturing Company, Ltd. and 1 subsidiary Sort by
Query
Patent
United States - USPTO
Excluding Subsidiaries
Aggregations Reset Report
Owner / Subsidiary
[Owner] Taiwan Semiconductor Manufacturing Company, Ltd. 36,687
TSMC China Company Limited 123
Date
New (last 4 weeks) 367
2024 April (MTD) 145
2024 March 480
2024 February 314
2024 January 357
See more
IPC Class
H01L 29/66 - Types of semiconductor device 8,902
H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate 6,574
H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device 5,202
H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices 5,166
H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions 4,404
See more
Status
Pending 8,247
Registered / In Force 28,440
Found results for  patents
  1     2     3     ...     100        Next Page

1.

Integrated Circuit Packages and Methods of Forming the Same

      
Application Number 18151801
Status Pending
Filing Date 2023-01-09
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Ming-Fa
  • Lee, Yun-Han
  • Lu, Lee-Chung

Abstract

Integrated circuit packages and methods of forming the same are provided. In an embodiment, a device includes: a power distribution interposer including: a first bonding layer; a first die connector in the first bonding layer; and a back-side interconnect structure including a power rail connected to the first die connector; and an integrated circuit die including: a second bonding layer directly bonded to the first bonding layer by dielectric-to-dielectric bonds; a second die connector in the second bonding layer, the second die connector directly bonded to the first die connector by metal-to-metal bonds; and a device layer on the second bonding layer, the device layer including a contact and a transistor, the transistor including a first source/drain region, the contact connecting a back-side of the first source/drain region to the second die connector.

IPC Classes  ?

  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 21/82 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/498 - Leads on insulating substrates
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

2.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

      
Application Number 18161855
Status Pending
Filing Date 2023-01-30
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chu, Yi-Cheng
  • Huang, Chien-Hua
  • Lin, Yu-Ming
  • Lin, Chung-Te

Abstract

A semiconductor device includes a first transistor and a protection structure. The first transistor includes a gate electrode, a gate dielectric disposed on the gate electrode, and a channel layer disposed on the gate dielectric. The protection structure is laterally surrounding the gate electrode, the gate dielectric and the channel layer of the first transistor. The protection structure includes a first capping layer and a dielectric portion. The first capping layer is laterally surrounding and contacting the gate electrode, the gate dielectric and the channel layer of the first transistor. The dielectric portion is disposed on the first capping layer and laterally surrounding the first transistor.

IPC Classes  ?

  • H01L 29/786 - Thin-film transistors
  • H01L 29/40 - Electrodes
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

3.

MEMORY DEVICE, AND METHOD FOR FORMING THEREOF

      
Application Number 18162717
Status Pending
Filing Date 2023-02-01
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chiang, Hung-Li
  • Wang, Jer-Fu
  • Hung, Yi-Tse
  • Cheng, Chao-Ching
  • Radu, Iuliana

Abstract

A memory device is provided. The memory device includes a write pass-gate transistor, a read pass-gate transistor, a write word line, and a read word line. The write pass-gate transistor is disposed in a first layer. The read pass-gate transistor is disposed in a second layer above the first layer. The write word line is disposed in a metallization layer above the first layer and electrically coupled to the write pass-gate transistor through a write path. The read word line is disposed in the metallization layer and electrically coupled to the read pass-gate transistor through a read path. The write path is different from the read path.

IPC Classes  ?

  • H10B 10/00 - Static random access memory [SRAM] devices

4.

LEVEL SHIFTER CIRCUIT AND METHOD OF OPERATING THE SAME

      
Application Number 18536552
Status Pending
Filing Date 2023-12-12
First Publication Date 2024-04-18
Owner
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
  • TSMC CHINA COMPANY, LIMITED (China)
  • TSMC NANJING COMPANY, LIMITED (China)
Inventor
  • Ding, Jing
  • Yan, Zhang-Ying
  • Meng, Qingchao
  • Pan, Lei

Abstract

An integrated circuit includes an input circuit coupled to a first voltage supply, and configured to receive a first input signal, and to generate at least a second or a third input signal, and a level shifter circuit coupled to the input circuit and a second voltage supply, and configured to receive a first enable signal, the second or third input signal, and to generate a first signal responsive to the first enable signal, the second or third input signal. The input circuit includes a first set of transistors having a first threshold voltage. The first set of transistors includes a first set of active regions extending in a first direction. The level shifter circuit includes a second set of transistors having a second threshold voltage. The second set of transistors includes a second set of active regions extending in the first direction.

IPC Classes  ?

  • H03K 3/037 - Bistable circuits
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • H03K 19/0185 - Coupling arrangements; Interface arrangements using field-effect transistors only

5.

SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME

      
Application Number 18162714
Status Pending
Filing Date 2023-02-01
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Winnie Victoria Wei-Ning
  • Pai, Chia-Ling
  • Tsai, Pang-Yen

Abstract

A method of forming a semiconductor device includes providing a substrate having a recess, and growing an epitaxial feature in the recess. The method of growing the epitaxial feature includes: (a) growing a sub-layer of the epitaxial feature; (b) selectively etching the sub-layer of the epitaxial feature while providing a first UV radiation; and (c) repeating step (a) and step (b) alternately multiple times.

IPC Classes  ?

  • H01L 21/8234 - MIS technology
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

6.

Semiconductor Devices and Methods of Manufacture

      
Application Number 18149935
Status Pending
Filing Date 2023-01-04
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Liu, Fu Wei
  • Lee, Pei-Wei
  • Wu, Yun-Chung
  • Chiu, Bo-Yu
  • Lee, Szu-Hsien
  • Lii, Mirng-Ji

Abstract

Semiconductor devices and methods of manufacturing the semiconductor devices are presented. In embodiments the methods of manufacturing include depositing a first bonding layer on a first substrate, wherein the first substrate comprises a semiconductor substrate and a metallization layer. The first bonding layer and the semiconductor substrate are patterned to form first openings. A second substrate is bonded to the first substrate. After the bonding the second substrate, the second substrate is patterned to form second openings, at least one of the second openings exposing at least one of the first openings. After the patterning the second substrate, a third substrate is bonded to the second substrate, and after the bonding the third substrate, the third substrate is patterned to form third openings, at least one of the third openings exposing at least one of the second openings.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices
  • H01L 29/02 - Semiconductor bodies

7.

SEMICONDUCTOR DEVICE

      
Application Number 18099348
Status Pending
Filing Date 2023-01-20
First Publication Date 2024-04-18
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Sun, Sey-Ping
  • Yu, Chen-Hua
  • Liang, Shih Wei

Abstract

A semiconductor device is provided. The semiconductor includes a supporting silicon layer and a memory module. The memory module and the supporting silicon layer are bonded via a bonding structure. The bonding structure includes at least one bonding film whose thickness is less than 200 Å.

IPC Classes  ?

  • H01L 23/367 - Cooling facilitated by shape of device
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/373 - Cooling facilitated by selection of materials for the device
  • H10B 80/00 - Assemblies of multiple devices comprising at least one memory device covered by this subclass

8.

STATIC RANDOM ACCESS MEMORY AND MANUFACTURING METHOD THEREOF

      
Application Number 18099340
Status Pending
Filing Date 2023-01-20
First Publication Date 2024-04-18
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor Doornbos, Gerben

Abstract

A static random access memory and a manufacturing method thereof are provided. The static random access memory includes a first complementary field effect transistor (CFET), a second CFET, a first pass fate transistor and a second pass gate transistor. The first CFET and the second CFET are disposed in a first tier. The first pass gate transistor is connected to the first CFET through a first path. The second pass gate transistor is connected to the second CFET through a second path. The first pass gate transistor and the second pass gate transistor are disposed in a second tier.

IPC Classes  ?

  • H10B 10/00 - Static random access memory [SRAM] devices

9.

LITHOGRAPHY

      
Application Number 18398152
Status Pending
Filing Date 2023-12-28
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Tu, Meng-Che
  • Wang, Po-Han
  • Liao, Sih-Hao
  • Hu, Yu-Hsiang
  • Kuo, Hung-Jui

Abstract

A method includes the following steps. A photoresist is exposed to a first light-exposure through a first mask, wherein the first mask includes a first stitching region, and a first portion of the photoresist corresponding to a first opaque portion of the first stitching region is unexposed. The photoresist is exposed to a second light-exposure through a second mask, wherein the second mask includes a second stitching region, and a second portion of the photoresist corresponding to a second opaque portion of the second stitching region is unexposed and is overlapping with the first portion of the photoresist.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

10.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 18099555
Status Pending
Filing Date 2023-01-20
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Yi-Jung
  • Yu, Chen Chiang
  • Tsao, Wei-An
  • Tsai, Tsung-Fu
  • Lu, Szu-Wei
  • Liu, Chung-Shi

Abstract

A semiconductor device includes a first semiconductor die and a second semiconductor die connected to the first semiconductor die. Each of the first semiconductor die and the second semiconductor die includes a substrate, a conductive bump formed on the substrate and a conductive contact formed on the conductive bump. The conductive contact has an outer lateral sidewall, there is an inner acute angle included between the outer lateral sidewall and the substrate is smaller than 85°, and the conductive contact of the first semiconductor die is connected opposite to the conductive contact of the second semiconductor die.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

11.

SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF

      
Application Number 18156414
Status Pending
Filing Date 2023-01-19
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chang, Hung-Pin
  • Wu, Wei-Cheng
  • Yeh, Ming-Shih
  • Su, An-Jhih
  • Yeh, Der-Chyang

Abstract

A semiconductor package includes a first semiconductor substrate, an array of conductive bumps, a second semiconductor substrate, and a spacing pattern. The first semiconductor substrate includes a pad region and an array of first pads disposed within the pad region. The array of conductive bumps is disposed on the array of first pads respectively. The second semiconductor substrate is disposed over the first semiconductor substrate and includes an array of second pads bonded to the array of conductive bumps respectively. The spacing pattern is disposed between the first semiconductor substrate and the second semiconductor substrate, wherein the spacing pattern is located at a periphery of the pad region.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/498 - Leads on insulating substrates

12.

COOLING INTERFACE REGION FOR A SEMICONDUCTOR DIE PACKAGE

      
Application Number 18190540
Status Pending
Filing Date 2023-03-27
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Hsieh, Cheng-Chieh
  • Sheng, Wei-Kong
  • Shen, Ke-Han
  • Lien, Yu-Jen

Abstract

Some implementations described herein include systems and techniques for fabricating a semiconductor die package that includes a cooling interface region formed in surface of an integrated circuit die. The cooling interface region, which includes a combination of channel regions and pillar structures, may be directly exposed to a fluid above and/or around the semiconductor die package.

IPC Classes  ?

  • H01L 23/367 - Cooling facilitated by shape of device
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement

13.

METHOD AND STRUCTURE FOR AIR GAP INNER SPACER IN GATE-ALL-AROUND DEVICES

      
Application Number 18395892
Status Pending
Filing Date 2023-12-26
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Shih-Chiang
  • Lee, Wei-Yang
  • Lin, Chia-Pin
  • Peng, Yuan-Ching

Abstract

A device a includes a substrate, two source/drain (S/D) features over the substrate, and semiconductor layers suspended over the substrate and connecting the two S/D features. The device further includes a dielectric layer disposed between two adjacent layers of the semiconductor layers and an air gap between the dielectric layer and one of the S/D features, where a ratio between a length of the air gap to a thickness of the first dielectric layer is in a range of 0.1 to 1.0.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 21/8234 - MIS technology
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/49 - Metal-insulator semiconductor electrodes
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/786 - Thin-film transistors

14.

Passive Device Dies With Measurement Structures

      
Application Number 18128129
Status Pending
Filing Date 2023-03-29
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Kuo, Fu-Chiang
  • Fang, Yu-Hsin
  • Chen, Min-Hsiung

Abstract

A structure and method for improving manufacturing yield of passive device dies are disclosed. The structure includes first and second groups of capacitors disposed on a substrate, an interconnect structure disposed on the first and second groups of capacitors, first and second bonding structures disposed on the first and second conductive lines, respectively, and first and second measurement structures connected to the first and second conductive lines, respectively, and configured to measure electrical properties of the first and second groups of capacitors, respectively. The interconnect structure includes first and second conductive line connected to the first and second groups of trench capacitors, respectively. The first bonding structure is electrically connected to the first group of capacitors and the second bonding structure is electrically isolated from the first and second groups of capacitors. The first and second measurement structures are electrically isolated from each other.

IPC Classes  ?

  • H01L 27/08 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/498 - Leads on insulating substrates

15.

SEMICONDUCTOR PACKAGES AND MANUFACTURING METHODS THEREOF

      
Application Number 18395617
Status Pending
Filing Date 2023-12-24
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chiang, Yung-Ping
  • Shih, Chao-Wen
  • Chang, Shou-Zen
  • Wan, Albert
  • Hsieh, Yu-Sheng

Abstract

Sensor packages and manufacturing methods thereof are disclosed. One of the sensor packages includes a semiconductor chip and a redistribution layer structure. The semiconductor chip has a sensing surface. The redistribution layer structure is arranged to form an antenna transmitter structure aside the semiconductor chip and an antenna receiver structure over the sensing surface of the semiconductor chip.

IPC Classes  ?

  • H01Q 1/22 - Supports; Mounting means by structural association with other equipment or articles
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/528 - Layout of the interconnection structure
  • H01L 23/66 - High-frequency adaptations
  • H01Q 1/38 - Structural form of radiating elements, e.g. cone, spiral, umbrella formed by a conductive layer on an insulating support
  • H01Q 9/04 - Resonant antennas
  • H01Q 21/06 - Arrays of individually energised antenna units similarly polarised and spaced apart

16.

SEMICONDUCTOR DEVICE AND FORMATION METHOD THEREOF

      
Application Number 18190691
Status Pending
Filing Date 2023-03-27
First Publication Date 2024-04-18
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Lung, Chun-Ming
  • Ko, Chung-Ting
  • Chang, Ting-Hsiang
  • Lin, Sung-En
  • Chui, Chi On

Abstract

A semiconductor device includes a fin structure, a metal gate stack, a barrier structure and an epitaxial source/drain region. The fin structure is over a substrate. The metal gate stack is across the fin structure. The barrier structure is on opposite sides of the metal gate stack. The barrier structure comprises one or more passivation layers and one or more barrier layers, and the one or more passivation layers have a material different from a material of the one or more barrier layers. The epitaxial source/drain region is over the barrier structure.

IPC Classes  ?

  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/40 - Electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

17.

Post-Routing Congestion Optimization

      
Application Number 18447567
Status Pending
Filing Date 2023-08-10
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Hsu, Ching
  • Lin, Heng-Yi
  • Chuang, Yi-Lin

Abstract

A method includes: identifying a first design rule check (DRC) violation in a cluster box on an integrated circuit layout; locating a first target cell at a first original location in the cluster box, the first target cell being connected to the first DRC violation; detecting a first plurality of candidate locations for the first target cell in the cluster box; calculating resource costs associated with the first plurality of candidate locations; determining a first relocation location, among the first plurality of candidate locations, associated with a minimum resource cost for the first target cell; and relocating the first target cell from the first original location to the first relocation location.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/394 - Routing

18.

MULTILAYER CAPACITOR ELECTRODE

      
Application Number 18395110
Status Pending
Filing Date 2023-12-22
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Shen, Hsiang-Ku
  • Chen, Dian-Hau

Abstract

Semiconductor devices and methods of forming the same are provided. In one embodiment, a semiconductor device includes a contact feature in a first dielectric layer, a first passivation layer over the contact feature, a bottom conductor plate layer disposed over the first passivation layer and including a first plurality of sublayers, a second dielectric layer over the bottom conductor plate layer, a middle conductor plate layer disposed over the second dielectric layer and including a second plurality of sublayers, a third dielectric layer over the middle conductor plate layer, a top conductor plate layer disposed over the third dielectric layer and including a third plurality of sublayers, and a second passivation layer over the top conductor plate layer.

IPC Classes  ?

19.

SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME

      
Application Number 18166122
Status Pending
Filing Date 2023-02-08
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lin, Yu-Hung
  • Wang, Wei-Ming
  • Yang, Su-Chun
  • Twu, Jih-Churng
  • Tai, Shih-Peng
  • Yee, Kuo-Chung

Abstract

A method of forming a semiconductor structure is provided, and includes trimming a first substrate to form a recess on a sidewall of the first substrate. A conductive structure is formed in the first substrate. The method includes bonding the first substrate to a carrier. The method includes thinning down the first substrate. The method also includes forming a dielectric material in the recess and over a top surface of the thinned first substrate. The method further includes performing a planarization process to remove the dielectric material and expose the conductive structure over the top surface. In addition, the method includes removing the carrier from the first substrate.

IPC Classes  ?

  • H01L 23/498 - Leads on insulating substrates
  • H01L 21/762 - Dielectric regions
  • H01L 23/29 - Encapsulation, e.g. encapsulating layers, coatings characterised by the material

20.

Semiconductor Package and Method of Manufacturing the Same

      
Application Number 17872750
Status Pending
Filing Date 2022-07-25
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Chang, Chin-Chuan
  • Lu, Szu-Wei
  • Yu, Chen-Hua

Abstract

A method includes forming a set of through-vias in a substrate, the set of through-vias partially penetrating a thickness of the substrate. First connectors are formed over the set of through-vias on a first side of the substrate. The first side of the substrate is attached to a carrier. The substrate is thinned from the second side to expose the set of through-vias. Second connectors are formed over the set of through-vias on the second side of the substrate. A device die is bonded to the second connectors. The substrate is singulated into multiple packages.

IPC Classes  ?

  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/498 - Leads on insulating substrates
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 25/10 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers

21.

Integrated Circuit Packages and Methods of Forming the Same

      
Application Number 18151222
Status Pending
Filing Date 2023-01-06
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Hsueh, Chang-Jung
  • Lin, Po-Yao
  • Huang, Hui-Min
  • Cheng, Ming-Da
  • Yan, Kathy

Abstract

A method includes attaching a package component to a package substrate, the package component includes: an interposer disposed over the package substrate; a first die disposed along the interposer; and a second die disposed along the interposer, the second die being laterally adjacent the first die; attaching a first thermal interface material to the first die, the first thermal interface material being composed of a first material; attaching a second thermal interface material to the second die, the second thermal interface material being composed of a second material different from the first material; and attaching a lid assembly to the package substrate, the lid assembly being further attached to the first thermal interface material and the second thermal interface material.

IPC Classes  ?

  • H01L 23/367 - Cooling facilitated by shape of device
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H10B 80/00 - Assemblies of multiple devices comprising at least one memory device covered by this subclass

22.

CRUCIFORM BONDING STRUCTURE FOR 3D-IC

      
Application Number 18149789
Status Pending
Filing Date 2023-01-04
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Yang, Hao-Lin
  • Huang, Kuan-Chieh
  • Hsu, Wei-Cheng
  • Wang, Tzu-Jui
  • Wang, Ching-Chun
  • Tseng, Hsiao-Hui
  • Wang, Chen-Jong
  • Yaung, Dun-Nian

Abstract

A bonding structure that may be used to form 3D-IC devices is formed using first oblong bonding pads on a first substrate and second oblong bonding pads one a second substrate. The first and second oblong bonding pads are laid crosswise, and the bond is formed. Viewed in a first cross-section, the first bonding pad is wider than the second bonding pad. Viewed in a second cross-section at a right angle to the first, the second bonding pad is wider than the first bonding pad. Making the bonding pads oblong and angling them relative to one another reduces variations in bonding area due to shifts in alignment between the first substrate and the second substrate. The oblong shape in a suitable orientation may also be used to reduce capacitive coupling between one of the bonding pads and nearby wires.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

23.

SEMICONDUCTOR PACKAGE

      
Application Number 18395706
Status Pending
Filing Date 2023-12-25
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chang, Wei-Chung
  • Ho, Ming-Che
  • Kuo, Hung-Jui

Abstract

Semiconductor package includes substrate, first barrier layer, second barrier layer, routing via, first routing pattern, second routing pattern, semiconductor die. Substrate has through hole with tapered profile, wider at frontside surface than at backside surface of substrate. First barrier layer extends on backside surface. Second barrier layer extends along sidewalls of through hole and on frontside surface. Routing via fills through hole and is separated from sidewalls of through hole by at least second barrier layer. First routing pattern extends over first barrier layer on backside surface and over routing via. First routing pattern is electrically connected to end of routing via and has protrusion protruding towards end of routing via in correspondence of through hole. Second routing pattern extends over second barrier layer on frontside surface. Second routing pattern directly contacts another end of routing via. Semiconductor die is electrically connected to routing via by first routing pattern.

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 25/10 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers

24.

Semiconductor Devices and Methods of Manufacture

      
Application Number 18152511
Status Pending
Filing Date 2023-01-10
First Publication Date 2024-04-18
Owner Taiwan Semiconductor manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Wu, Yun-Chung
  • Wang, Jhao-Yi
  • Yang, Hao Chun
  • Lee, Pei-Wei
  • Lu, Wen-Hsiung

Abstract

Microelectromechanical devices and methods of manufacture are presented. Embodiments include bonding a mask substrate to a first microelectromechanical system (MEMS) device. After the bonding has been performed, the mask substrate is patterned. A first conductive pillar is formed within the mask substrate, and a second conductive pillar is formed within the mask substrate, the second conductive pillar having a different height from the first conductive pillar. The mask substrate is then removed.

IPC Classes  ?

  • B81C 1/00 - Manufacture or treatment of devices or systems in or on a substrate
  • B81B 7/00 - Microstructural systems

25.

PACKAGE STRUCTURE AND METHOD OF FORMING THE SAME

      
Application Number 18162715
Status Pending
Filing Date 2023-02-01
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Hsieh, Chia-Han
  • Hu, Yu-Jin
  • Tseng, Hua-Wei
  • Su, An-Jhih
  • Yeh, Der-Chyang

Abstract

Provided are a package structure and a method of forming the same. The method includes: forming an interconnect structure on a substrate; performing a laser grooving process to form a first opening in the interconnect structure and form a debris layer on a sidewall of the first opening in a same step; forming a protective layer to fill in the first opening and cover the debris layer and the interconnect structure; patterning the protective layer to form a second opening, wherein the second opening is spaced from the debris layer by the protective layer; performing a planarization process on the protective layer to expose a topmost contact pad of the interconnect structure; and performing a mechanical dicing process through the second opening to form a third opening in the substrate and cut the substrate into a plurality of semiconductor dies.

IPC Classes  ?

  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/78 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

26.

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND PHOTORESIST COMPOSITION

      
Application Number 18200495
Status Pending
Filing Date 2023-05-22
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Ho, Chun-Chih
  • Lin, Chin-Hsiang
  • Chang, Ching-Yu

Abstract

A method of manufacturing a semiconductor device includes forming a photoresist layer including a photoresist composition over a substrate. The photoresist layer is selectively exposed to actinic radiation, the selectively exposed photoresist layer is developed to form a pattern in the photoresist layer. The photoresist composition includes a polymer including monomer units with photocleaving promoters, wherein the photocleaving promoters are one or more selected from the group consisting of living free radical polymerization chain transfer agents, electron withdrawing groups, bulky two dimensional (2-D) or three dimensional (3-D) organic groups, N-(acyloxy)phthalimides, and electron stimulated radical generators.

IPC Classes  ?

  • G03F 7/038 - Macromolecular compounds which are rendered insoluble or differentially wettable
  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or

27.

THERMAL BARRIER STRUCTURE IN PHASE CHANGE MATERIAL DEVICE

      
Application Number 18150903
Status Pending
Filing Date 2023-01-06
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor Yang, Tsung-Hsueh

Abstract

The present disclosure is directed towards an integrated chip including a heater structure overlying a semiconductor substrate. A phase change element (PCE) is disposed over the heater structure. A thermal barrier structure is disposed between the heater structure and the PCE. Outer sidewalls of the PCE are spaced laterally between outer sidewalls of the thermal barrier structure.

IPC Classes  ?

  • H10N 70/20 - Multistable switching devices, e.g. memristors
  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching

28.

FLIP-FLOP CELL

      
Application Number 18305996
Status Pending
Filing Date 2023-04-24
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Wang, Shao-Yu Steve
  • Wu, Chien-Te
  • Hsieh, Shang-Chih
  • Tsai, Nick

Abstract

An integrated circuit includes a semiconductor substrate and a plurality of circuit elements in or on the substrate. The circuit elements are defined by standard layout cells selected from a cell library. The circuit elements including a plurality of flip-flops. Each flip-flop has a data input terminal, a data output terminal, a clock input terminal, and a clock output terminal. A first one of the flip-flops directly abuts a second flip-flop such that the clock output terminal of the first flip-flop electrically connects with the clock input terminal of the second flip-flop.

IPC Classes  ?

  • H03K 3/037 - Bistable circuits
  • G06F 1/04 - Generating or distributing clock signals or signals derived directly therefrom

29.

Defect Inspection System and Method

      
Application Number 18152409
Status Pending
Filing Date 2023-01-10
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Yang, Hao Chun
  • Cheng, Ming-Da
  • Lee, Pei-Wei
  • Lii, Mirng-Ji

Abstract

A method includes directing light at a first side of a semiconductor structure; detecting a first light intensity at a second side of the semiconductor structure, wherein the first light intensity corresponds to the light that penetrated the semiconductor structure from the first side to the second side; and comparing the first light intensity to a second light intensity, wherein the second light intensity corresponds to an expected intensity of light.

IPC Classes  ?

  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/59 - Transmissivity

30.

SEMICONDUCTOR AND METHOD FOR FORMING THE SAME

      
Application Number 18150455
Status Pending
Filing Date 2023-01-05
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor Liao, Wen-Shiang

Abstract

A semiconductor structure is provided. The semiconductor structure includes a substrate, a first conductive layer formed on the substrate, a chip disposed on the substrate, a first dielectric layer surrounding the chip, a second conductive layer disposed on the first dielectric layer and electrically insulated from the first conductive layer, a plurality of first vias formed in the first dielectric layer and electrically connected to the first conductive layer, and a plurality of second vias formed in the first dielectric layer and electrically connected to the second conductive layer. The first vias are arranged in a first direction. The second vias are arranged in the first direction, and the first vias and the second vias are arranged in a staggered fashion in a second direction, which is different from the first direction.

IPC Classes  ?

  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/64 - Impedance arrangements

31.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

      
Application Number 18184666
Status Pending
Filing Date 2023-03-16
First Publication Date 2024-04-18
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Chang, Chun-Yi
  • Chen, Yu Ying
  • Wu, Zhen-Cheng
  • Chui, Chi On

Abstract

A method includes forming first and second semiconductor fins and a gate structure over a substrate; forming a first and second source/drain epitaxy structures over the first and second semiconductor fins; forming an interlayer dielectric (ILD) layer over the first and second source/drain epitaxy structures; etching the gate structure and the ILD layer to form a trench; performing a first surface treatment to modify surfaces of a top portion and a bottom portion of the trench to NH-terminated; performing a second surface treatment to modify the surfaces of the top portion of the trench to N-terminated, while leaving the surfaces of the bottom portion of the trench being NH-terminated; and depositing a first dielectric layer in the trench, wherein the first dielectric layer has a higher deposition rate on the surfaces of the bottom portion of the trench than on the surfaces of the bottom portion of the trench.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 21/8234 - MIS technology
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 29/66 - Types of semiconductor device

32.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 18161742
Status Pending
Filing Date 2023-01-30
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Liang, Chun-Sheng
  • Chien, Yu-San
  • Shen, Pin Chun
  • Hong, Wen-Chiang
  • Yeung, Chun-Wing

Abstract

A semiconductor device includes a first semiconductor structure, a second semiconductor structure, a first isolation block and a second isolation block. The first semiconductor structure includes a first gate structure wrapping around a first sheet structures and a second sheet structures, and a first dielectric wall disposed between and separating the first and second sheet structures. The second semiconductor structure includes a second gate structure wrapping around third sheet structures. The first isolation block is disposed on the first dielectric wall of the first semiconductor structure and separates the first gate structure into a first gate portion wrapping around the first sheet structures and a second gate portion wrapping around the second sheet structures. The second isolation block is disposed between the first and second semiconductor structures and separates the first gate structure from the second gate structure. The first isolation block has an extending depth smaller than an extending depth of the second isolation block.

IPC Classes  ?

  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

33.

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVCE

      
Application Number 18514661
Status Pending
Filing Date 2023-11-20
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Jang, Shu-Uei
  • Huang, Chen-Huang
  • Chen, Ryan Chia-Jen
  • Wang, Shiang-Bau
  • Ku, Shu-Yuan

Abstract

A conductive gate over a semiconductor fin is cut into a first conductive gate and a second conductive gate. An oxide is removed from sidewalls of the first conductive gate and a dielectric material is applied to the sidewalls. Spacers adjacent to the conductive gate are removed to form voids, and the voids are capped with a dielectric material to form air spacers.

IPC Classes  ?

  • H01L 21/8234 - MIS technology
  • H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
  • H01L 21/308 - Chemical or electrical treatment, e.g. electrolytic etching using masks
  • H01L 21/762 - Dielectric regions
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

34.

PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18192679
Status Pending
Filing Date 2023-03-30
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Liu, Hsiang-Wei
  • Lin, Chung-Kuang

Abstract

A package structure and a manufacturing method thereof are disclosed. The structure includes at least one semiconductor die, a redistribution layer disposed on the at least one semiconductor die, and connectors there-between. The connectors are disposed between the at least one semiconductor die and the redistribution layer, and electrically connect the at least one semiconductor die and the redistribution layer. The redistribution layer includes a dielectric layer with an opening and a metallic pattern layer disposed on the dielectric layer, and the metallic pattern layer includes a metallic via located inside the opening with a dielectric spacer surrounding the metallic via and located between the metallic via and the opening.

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/762 - Dielectric regions
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates

35.

SEMICONDUCTOR DIE PACKAGE AND METHODS OF MANUFACTURING

      
Application Number 18308032
Status Pending
Filing Date 2023-04-27
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Wu, Chih-Wei
  • Su, An-Jhih
  • Tseng, Hua-Wei
  • Shih, Ying-Ching
  • Chiou, Wen-Chih
  • Chen, Chun-Wei
  • Yeh, Ming Shih
  • Wu, Wei-Cheng
  • Yeh, Der-Chyang

Abstract

Some implementations described herein provide techniques and apparatuses for a stacked semiconductor die package. The stacked semiconductor die package may include an upper semiconductor die package above a lower semiconductor die package. The stacked semiconductor die package includes one or more rows of pad structures located within a footprint of a semiconductor die of the lower semiconductor die package. The one or more rows of pad structures may be used to mount the upper semiconductor die package above the lower semiconductor die package. Relative to another stacked semiconductor die package including a row of dummy connection structures adjacent to the semiconductor die that may be used to mount the upper semiconductor die package, a size of the stacked semiconductor die package may be reduced.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices
  • H01L 25/10 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers

36.

SEMICONDUCTOR DEVICE INCLUDING INTEGRATED CAPACITOR AND VERTICAL CHANNEL TRANSISTOR AND METHODS OF FORMING THE SAME

      
Application Number 18395793
Status Pending
Filing Date 2023-12-26
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Kao, Yun-Feng
  • Chiang, Katherine H.

Abstract

A semiconductor device includes an insulating base including a trench, a transistor including a gate electrode and vertical channel in the trench, and a source electrode in the insulating base outside the trench, an isolation layer on the gate electrode in the trench, and a capacitor including a trench capacitor portion that is on the isolation layer in the trench, and a stacked capacitor portion that is coupled to the source electrode of the transistor outside the trench.

IPC Classes  ?

  • H10B 12/00 - Dynamic random access memory [DRAM] devices

37.

SEMICONDUCTOR PACKAGE

      
Application Number 18398194
Status Pending
Filing Date 2023-12-28
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Wang, Tsung-Ding
  • Su, Yen-Fu
  • Hou, Hao-Cheng
  • Cheng, Jung-Wei
  • Lee, Chien-Hsun
  • Pan, Hsin-Yu

Abstract

A semiconductor package includes a first semiconductor die, an encapsulant, a high-modulus dielectric layer and a redistribution structure. The first semiconductor die includes a conductive post in a protective layer. The encapsulant encapsulates the first semiconductor die, wherein the encapsulant is made of a first material. The high-modulus dielectric layer extends on the encapsulant and the protective layer, wherein the high-modulus dielectric layer is made of a second material. The redistribution structure extends on the high-modulus dielectric layer, wherein the redistribution structure includes a redistribution dielectric layer, and the redistribution dielectric layer is made of a third material. The protective layer is made of a fourth material, and a ratio of a Young's modulus of the second material to a Young's modulus of the fourth material is at least 1.5.

IPC Classes  ?

  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices

38.

STRUCTURE FOR MULTIPLE SENSE AMPLIFIERS OF MEMORY DEVICE

      
Application Number 18394835
Status Pending
Filing Date 2023-12-22
First Publication Date 2024-04-18
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Noguchi, Hiroki
  • Lin, Ku-Feng

Abstract

A memory device is provided. The memory device includes several sense amplifiers and at least one reference cell. Each of the sense amplifiers has a first terminal and a second terminal. The first terminals of the sense amplifiers are coupled to a memory cell block, and the second terminals of the sense amplifiers are coupled together to transmit a read current. The at least one reference cell transmits the read current to a ground terminal. The at least one reference cell has a decreased resistance value when a number N of the sense amplifiers increases.

IPC Classes  ?

  • G11C 13/00 - Digital stores characterised by the use of storage elements not covered by groups , , or

39.

SEMICONDUCTOR DIE INCLUDING STRESS-RESISTANT BONDING STRUCTURES AND METHODS OF FORMING THE SAME

      
Application Number 18530286
Status Pending
Filing Date 2023-12-06
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Huang, Hui-Min
  • Lin, Wei-Hung
  • Zhan, Kai Jun
  • Hsueh, Chang-Jung
  • Chiang, Wan-Yu
  • Cheng, Ming-Da

Abstract

A semiconductor die including mechanical-stress-resistant bump structures is provided. The semiconductor die includes dielectric material layers embedding metal interconnect structures, a connection pad-and-via structure, and a bump structure including a bump via portion and a bonding bump portion. The entirety of a bottom surface of the bump via portion is located within an area of a horizontal top surface of a pad portion of the connection pad-and-via structure.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

40.

WAFER TRANSPORT SYSTEM AND TRANSPORTING METHOD USING THE SAME

      
Application Number 18194369
Status Pending
Filing Date 2023-03-31
First Publication Date 2024-04-18
Owner
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
  • TSMC CHINA COMPANY LIMITED (China)
Inventor
  • Deng, Qun
  • Yang, Guang
  • Zhang, Qinhong
  • Cao, Zihao

Abstract

A method includes receiving, by a control module of a wafer transport system, an indication of wafer transporting; calculating, by the control module, a route for transporting a first wafer carrier according to the indication; moving, by a control unit of a wafer transport device of the wafer transport system, the wafer transport device to a first stocker storing the first wafer carrier along the route; performing, by the control unit, a safety monitoring process during a movement of the wafer transport device; stopping, by the control unit, the wafer transport device in front of the first stocker; and identifying, by an identification device of the wafer transport device, the first wafer carrier loaded on a rack of the wafer transport device.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/677 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for conveying, e.g. between different work stations

41.

SPREADER CONFIGURATION FOR PHASE CHANGE MATERIAL (PCM) SWITCH AND METHODS OF FORMING THE SAME

      
Application Number 18304533
Status Pending
Filing Date 2023-04-21
First Publication Date 2024-04-18
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Li, Fu-Hai
  • Ong, Yi Ching
  • Wang, Hsin Heng
  • Yeh, Tsung-Hao
  • Ting, Yu-Wei
  • Chang, Kuo-Pin
  • Li, Hung-Ju
  • Huang, Kuo-Ching

Abstract

Devices and method for forming a switch including a heater layer including a first heater pad, a second heater pad, and a heater line connecting the first heater pad and the second heater pad, a phase change material (PCM) layer positioned in a same vertical plane as the heater line, and a floating spreader layer including a first portion positioned in the same vertical plane as the heater line and the PCM layer, in which the first portion has a first width that is less than or equal to a distance between proximate sidewalls of the first heater pad and the second heater pad.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10N 70/20 - Multistable switching devices, e.g. memristors

42.

SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME

      
Application Number 18097265
Status Pending
Filing Date 2023-01-15
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Liao, Wei-Hao
  • Tien, Hsi-Wen
  • Lu, Chih Wei
  • Wu, Yung-Hsu
  • Tsai, Cherng-Shiaw
  • Su, Chia-Wei

Abstract

Embodiments of the present disclosure relates to a method for forming a semiconductor device structure. The method includes including forming one or more conductive features in a first interlayer dielectric (ILD), forming an etch stop layer on the first ILD, forming a second ILD over the etch stop layer, forming one or more openings through the second ILD and the etch stop layer to expose a top surface of the one or more first conductive features, wherein the one or more openings are formed by a first etch process in a first process chamber, exposing the one or more openings to a second etch process in a second process chamber so that the shape of the or more openings is elongated, and filling the one or more openings with a conductive material.

IPC Classes  ?

  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 21/3065 - Plasma etching; Reactive-ion etching
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 29/66 - Types of semiconductor device

43.

SEMICONDUCTOR DEVICE STRUCTURE AND METHOD FOR FORMING THE SAME

      
Application Number 18110330
Status Pending
Filing Date 2023-02-15
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Lin, Ta-Chun
  • Chen, Ming-Che
  • Lu, Yu-Hsuan
  • Chang, Chih-Hao

Abstract

A semiconductor device structure is provided. The semiconductor device has a first dielectric wall between an n-type source/drain region and a p-type source/drain region to physically and electrically isolate the n-type source/drain region and the p-type source/drain region from each other. A second dielectric wall is formed between a first channel region connected to the n-type source/drain region and a second channel region connected to the p-type source/drain region. A contact is formed to physically and electrically connect the n-type source/drain region with the p-type source/drain region, wherein the contact extends over the first dielectric wall. The first electric wall has a gradually decreasing width W5 towards a tip of the dielectric wall from a top contact position between the first dielectric wall and either the n-type source/drain region or the p-type source/drain region.

IPC Classes  ?

  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS

44.

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

      
Application Number 18133933
Status Pending
Filing Date 2023-04-12
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Ho, Chun-Chih
  • Chang, Ching-Yu
  • Lin, Chin-Hsiang

Abstract

A method of manufacturing a semiconductor device includes forming a first layer having an organic material over a substrate. A second layer is formed over the first layer, wherein the second layer includes a silicon-containing polymer having pendant acid groups or pendant photoacid generator groups. The forming a second layer includes: forming a layer of a composition including a silicon-based polymer and a material containing an acid group or photoacid generator group over the first layer, floating the material containing an acid group or photoacid generator group over the silicon-based polymer, and reacting the material containing an acid group or photoacid generator group with the silicon-based polymer to form an upper second layer including a silicon-based polymer having pendant acid groups or pendant photoacid generator groups overlying a lower second layer comprising the silicon-based polymer. A photosensitive layer is formed over the second layer, and the photosensitive layer is patterned.

IPC Classes  ?

  • G03F 7/075 - Silicon-containing compounds
  • H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or

45.

PIXEL SENSOR ISOLATION STRUCTURES AND METHODS OF FORMING THE SAME

      
Application Number 18150362
Status Pending
Filing Date 2023-01-05
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Liu, Ming-Chyi
  • Lu, Jiech-Fun
  • Liu, Shih-Chang
  • Lee, Ru-Liang

Abstract

A self-aligned plug may be formed between deep trench isolation (DTI) etching cycles. Accordingly, etch depth in areas of a pixel sensor with large CDs (e.g., at an X-road) is reduced, which prevents trench loading. As a result, a floating diffusion (FD) region, associated with photodiodes of the pixel sensor, is not damaged during the DTI etching cycles. Reduced chances of damage to the FD region improves performance of the pixel sensor and prevents electrical shorts and failures, which increases yield and conserves time and raw materials used in forming the pixel sensor.

IPC Classes  ?

46.

SEMICONDUCTOR DEVICE WITH STRAINED CHANNELS AND METHOD FOR MANUFACTURING THE SAME

      
Application Number 18154275
Status Pending
Filing Date 2023-01-13
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor Shih, Ding-Kang

Abstract

A semiconductor device includes a channel structure including a plurality of channel features which are spaced apart from each other, and which include first semiconductor elements, and two source/drain features disposed at two opposite sides of the channel structure such that each of the channel features interconnects the source/drain features. A major portion of each of the source/drain features includes second semiconductor elements, stressor elements which have an atomic radius different from that of the second semiconductor elements, and which are present in an amount sufficient to permit the source/drain features to apply a first stress to the channel features, and a certain degree of lattice defects present such that the source/drain features including the stressor elements apply a second stress to the channel features. The second stress is opposite to the first stress. A method for manufacturing the semiconductor device is also disclosed.

IPC Classes  ?

  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/45 - Ohmic electrodes
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

47.

ISOLATION FOR LONG AND SHORT CHANNEL DEVICES

      
Application Number 18155917
Status Pending
Filing Date 2023-01-18
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lin, Tzu-Ging
  • Yang, Shun-Hui

Abstract

Provided are multi-gate devices and methods for fabricating such devices. An exemplary method includes forming gate structures over a semiconductor material, wherein the gate structures include a long channel (LC) gate structure and a short channel (SC) gate structure; forming a patterned mask over the semiconductor material, wherein the LC gate structure and the SC gate structure are not covered by the patterned mask; and performing an etch process on the LC gate structure and on the SC gate structure through the patterned mask to remove the LC gate structure and the SC gate structure, wherein removal of the LC gate structure forms a deep trench in the semiconductor substrate having a first depth, and wherein removal of the SC gate structure forms a shallow trench in the semiconductor substrate having a second depth less than the first depth.

IPC Classes  ?

  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 21/8234 - MIS technology
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/786 - Thin-film transistors

48.

SEMICONDUCTOR PACKAGES AND METHODS OF MANUFACTURING THEREOF

      
Application Number 18169573
Status Pending
Filing Date 2023-02-15
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Mao, Lung-Kai
  • Lu, Wen-Hsiung
  • Lee, Pei-Wei
  • Lee, Szu-Hsien
  • Feng, Chieh-Ning

Abstract

A semiconductor package includes a die having a plurality of devices over a first substrate, where the first substrate includes a dopant at a first concentration and the first substrate has a first width along a horizontal direction. The semiconductor package further includes a second substrate fused with the first substrate, where the second substrate includes the dopant at a second concentration greater than the first concentration. The second substrate has a second width along the horizontal direction, where the second width is greater than the first width

IPC Classes  ?

  • H01L 21/3065 - Plasma etching; Reactive-ion etching
  • H01L 21/311 - Etching the insulating layers
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/13 - Mountings, e.g. non-detachable insulating substrates characterised by the shape
  • H01L 23/14 - Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties

49.

DEVICE WITH GATE-TO-DRAIN VIA AND RELATED METHODS

      
Application Number 18172246
Status Pending
Filing Date 2023-02-21
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Liao, Yi-Bo
  • Cai, Jin

Abstract

A device includes: a first stack of first semiconductor nanostructures; a second stack of second semiconductor nanostructures on the first stack of semiconductor nanostructures; a third stack of first semiconductor nanostructures adjacent the first stack; a first gate structure wrapping around the first stack and the second stack; a second gate structure wrapping around the third stack; a gate isolation structure between the first gate structure and the second gate structure; a dielectric layer on the second gate structure and laterally abutting the gate isolation structure; and a via. The via includes: a first portion that extends in a first direction, the first portion being on the first gate structure, the gate isolation structure and the dielectric layer; and a second portion that extends in a second direction transverse the first direction.

IPC Classes  ?

  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H10B 10/00 - Static random access memory [SRAM] devices

50.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 18180720
Status Pending
Filing Date 2023-03-08
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Hsiung, Te-Chih
  • Chen, Yun-Hua
  • Wu, Bing-Sian
  • Chiu, Yi-Hsuan
  • Chang, Yu-Wei
  • Hsieh, Wen-Kuo
  • Ting, Chih-Yuan
  • Lin, Huan-Just

Abstract

A method includes forming a dummy gate over a semiconductor fin; forming a source/drain epitaxial structure over the semiconductor fin and adjacent to the dummy gate; depositing an interlayer dielectric (ILD) layer to cover the source/drain epitaxial structure; replacing the dummy gate with a gate structure; forming a dielectric structure to cut the gate structure, wherein a portion of the dielectric structure is embedded in the ILD layer; recessing the portion of the dielectric structure embedded in the ILD layer; after recessing the portion of the dielectric structure, removing a portion of the ILD layer over the source/drain epitaxial structure; and forming a source/drain contact in the ILD layer and in contact with the portion of the dielectric structure.

IPC Classes  ?

  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

51.

MULTI-GATE DEVICE FABRICATION METHODS AND RELATED STRUCTURES

      
Application Number 18181750
Status Pending
Filing Date 2023-03-10
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chang, Cheng-Wei
  • Chou, Chi-Yu
  • Tan, Lun-Kuang
  • Liang, Shuen-Shin

Abstract

A method for modulating a threshold voltage of a device. The method includes providing a fin extending from a substrate, where the fin includes a plurality of semiconductor channel layers defining a channel region for a P-type transistor. In some embodiments, the method further includes forming a first gate dielectric layer surrounding at least three sides of each of the plurality of semiconductor channel layers of the P-type transistor. Thereafter, the method further includes forming a P-type metal film surrounding the first gate dielectric layer. In an example, and after forming the P-type metal film, the method further includes annealing the semiconductor device. After the annealing, and in some embodiments, the method includes removing the P-type metal film.

IPC Classes  ?

  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

52.

ELECTROSTATIC FIELD STRENGTH MEASUREMENT

      
Application Number 18196107
Status Pending
Filing Date 2023-05-11
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Yang, Ming Da
  • Li, Yi-Chen
  • Lin, Chun-Hsuan

Abstract

An apparatus is provided. The apparatus includes a laser generation device configured to emit a laser signal to a semiconductor fabrication component. The apparatus includes a reflection detection device configured to receive a reflection signal comprising light, of the laser signal, reflected by a surface of the semiconductor fabrication component. The reflection detection device includes an optical filter. The optical filter is configured to block light, of the reflection signal, that has a wavelength outside a defined range of wavelengths. The optical filter is configured to provide filtered light, from the reflection signal, that has a wavelength within the defined range of wavelengths. The reflection detection device includes a light sensor configured to generate an electrical signal based upon the filtered light. The apparatus includes a computer configured to determine, based upon the electrical signal, measures of electrostatic field strength at the surface of the semiconductor fabrication component.

IPC Classes  ?

  • G01R 29/12 - Measuring electrostatic fields
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

53.

CHIP PACKAGE WITH LID

      
Application Number 18391891
Status Pending
Filing Date 2023-12-21
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Yeh, Shu-Shen
  • Wang, Chin-Hua
  • Lee, Kuang-Chun
  • Lin, Po-Yao
  • Leu, Shyue-Ter
  • Jeng, Shin-Puu

Abstract

A chip package includes a substrate, a semiconductor chip, and a thermal conductive structure. The chip package includes a first and a second support structures below the thermal conductive structure. The first and the second support structures connect the substrate and corners of the thermal conductive structure. The thermal conductive structure has a side edge connecting the first and the second support structures. The first and the second support structures and the side edge together define of an opening exposing a space surrounding the semiconductor chip. The first and the second support structures are disposed along a side of the substrate. The first support structure is laterally separated from the side of the substrate by a first lateral distance. The side edge of the thermal conductive structure is laterally separated from the side of the substrate by a second lateral distance different than the first lateral distance.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/04 - Containers; Seals characterised by the shape
  • H01L 23/10 - Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
  • H01L 23/367 - Cooling facilitated by shape of device

54.

SEMICONDUCTOR DEVICE STRUCTURE INCLUDING FORKSHEET TRANSISTORS AND METHODS OF FORMING THE SAME

      
Application Number 18513562
Status Pending
Filing Date 2023-11-19
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Yu, Jia-Ni
  • Chiang, Kuo-Cheng
  • Huang, Mao-Lin
  • Chu, Lung-Kun
  • Hsu, Chung-Wei
  • Lu, Chun-Fu
  • Wang, Chih-Hao
  • Cheng, Kuan-Lun

Abstract

A semiconductor device structure, along with methods of forming such, are described. The semiconductor device structure includes a first dielectric feature extending along a first direction, the first dielectric feature comprising a first dielectric layer having a first sidewall and a second sidewall opposing the first sidewall, a first semiconductor layer disposed adjacent the first sidewall, the first semiconductor layer extending along a second direction perpendicular to the first direction, a second dielectric feature extending along the first direction, the second dielectric feature disposed adjacent the first semiconductor layer, and a first gate electrode layer surrounding at least three surfaces of the first semiconductor layer, and a portion of the first gate electrode layer is exposed to a first air gap.

IPC Classes  ?

  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 29/66 - Types of semiconductor device

55.

METHOD FOR NON-RESIST NANOLITHOGRAPHY

      
Application Number 18525131
Status Pending
Filing Date 2023-11-30
First Publication Date 2024-04-11
Owner
  • Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
  • National Taiwan University (Taiwan, Province of China)
Inventor
  • Chen, Miin-Jang
  • Tsai, Kuen-Yu
  • Liu, Chee-Wee

Abstract

A method for forming a semiconductor device is provided. A first patterned mask is formed on the substrate, the first patterned mask having a first opening therein. A second patterned mask is formed on the substrate in the first opening, the first patterned mask and the second patterned mask forming a combined patterned mask. The combined patterned mask is formed having one or more second openings, wherein one or more unmasked portions of the substrate are exposed. Trenches that correspond to the one or more unmasked portions of the substrate are formed in the substrate in the one or more second openings.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 21/308 - Chemical or electrical treatment, e.g. electrolytic etching using masks

56.

CHIP STRUCTURE

      
Application Number 18543110
Status Pending
Filing Date 2023-12-18
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Shue, Hong-Seng
  • Tsai, Sheng-Han
  • Chang, Kuo-Chin
  • Lii, Mirng-Ji
  • Hsu, Kuo-Ching

Abstract

A chip structure is provided. The chip structure includes a substrate, a redistribution layer over the substrate, a bonding pad over the redistribution layer, a shielding pad over the redistribution layer and surrounding the bonding pad, an insulating layer over the redistribution layer and the shielding pad, and a bump over the bonding pad and the insulating layer. The insulating layer includes a first part and a second part surrounded by the first part, the first part has first thickness, the second part has a second thickness, and the first thickness and the second thickness are different.

IPC Classes  ?

  • H01L 23/528 - Layout of the interconnection structure
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

57.

METHOD AND STRUCTURE OF CUT END WITH SELF-ALIGNED DOUBLE PATTERNING

      
Application Number 18543432
Status Pending
Filing Date 2023-12-18
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Tien, Hsi-Wen
  • Liao, Wei-Hao
  • Dai, Pin-Ren
  • Lu, Chih Wei
  • Lee, Chung-Ju

Abstract

Semiconductor device and the manufacturing method thereof are disclosed herein. An exemplary method of forming a semiconductor device comprises receiving a structure including a substrate and a first hard mask over the substrate, the first hard mask having at least two separate portions; forming spacers along sidewalls of the at least two portions of the first hard mask with a space between the spacers; forming a second hard mask in the space; forming a first cut in the at least two portions of the first hard mask; forming a second cut in the second hard mask; and depositing a cut hard mask in the first cut and the second cut.

IPC Classes  ?

  • H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

58.

METHOD OF DESIGNING AN INTEGRATED CIRCUIT AND SYSTEM FOR DESIGNING INTEGRATED CIRCUIT

      
Application Number 18545268
Status Pending
Filing Date 2023-12-19
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Li, Jian-Sing
  • Yang, Jung-Chan
  • Chen, Ting Yu
  • Chiang, Ting-Wei

Abstract

A method includes designing a plurality of cells for a semiconductor device, wherein designing the plurality of cells comprises reserving a routing track of a plurality of routing tracks within each of the plurality of cells, wherein each of the plurality of cells comprises signal lines, and the reserved routing track is free of the signal lines. The method includes placing a first cell and a second cell of the plurality of cells in a layout of the semiconductor device. The method includes determining whether any power rails overlap with any of the plurality of routing tracks other than the reserved routing track in the second cell. The method includes adjusting a distance between the first cell and the second cell in response to a determination that at least one power rail overlaps with at least one routing track other than the reserved routing track.

IPC Classes  ?

59.

ELECTROSTATIC DISCHARGE CIRCUIT AND METHOD OF OPERATING SAME

      
Application Number 18545851
Status Pending
Filing Date 2023-12-19
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Hsu, Chia-Lin
  • Tsai, Ming-Fu
  • Su, Yu-Ti
  • Chen, Kuo-Ji

Abstract

An electrostatic discharge (ESD) circuit includes a first ESD detection circuit, a first discharging circuit and a first ESD assist circuit. The first ESD detection circuit is coupled between a first node having a first voltage and a second node having a second voltage. The first discharging circuit includes a first transistor. The first transistor has a first gate, a first drain, a first source and a first body terminal. The first gate is coupled to the first ESD detection circuit by a third node. The first drain is coupled to the first node. The first source and the first body terminal are coupled together at the second node. The first ESD assist circuit is coupled between the second and third node, and configured to clamp a third voltage of the third node at the second voltage during an ESD event at the first or second node.

IPC Classes  ?

  • H02H 9/04 - Emergency protective circuit arrangements for limiting excess current or voltage without disconnection responsive to excess voltage
  • H01L 27/02 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
  • H02H 1/00 - EMERGENCY PROTECTIVE CIRCUIT ARRANGEMENTS - Details of emergency protective circuit arrangements

60.

SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME

      
Application Number 18097250
Status Pending
Filing Date 2023-01-15
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Lin, Ta-Chun
  • Hsieh, Chih-Hung

Abstract

Embodiments of the present disclosure provide semiconductor device structures and methods of forming the same. The structure includes a semiconductor layer disposed over a substrate, and the semiconductor layer has a first end and a second end opposite the first end. The structure further includes an epitaxial feature disposed over the substrate, and the epitaxial feature is electrically connected to the first end of the semiconductor layer. The structure further includes a first dielectric layer disposed over the substrate, and the first dielectric layer is in contact with the second end of the semiconductor layer. The structure further includes a contact etch stop layer disposed on and in contact with the first dielectric layer and an interlayer dielectric layer disposed on and in contact with the contact etch stop layer.

IPC Classes  ?

  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

61.

SEMICONDUCTOR DEVICE STRUCTURE INCLUDING FORKSHEET TRANSISTORS AND METHODS OF FORMING THE SAME

      
Application Number 18097263
Status Pending
Filing Date 2023-01-15
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Lin, Ta-Chun
  • Hsieh, Chih-Hung
  • Liang, Chun-Sheng
  • Hong, Wen-Chiang
  • Yeung, Chun-Wing
  • Pan, Kuo-Hua
  • Chang, Chih-Hao
  • Liaw, Jhon Jhy

Abstract

A semiconductor device structure includes a first dielectric wall, a plurality of first semiconductor layers vertically stacked and extending outwardly from a first side of the first dielectric wall, each first semiconductor layer has a first width, a plurality of second semiconductor layers vertically stacked and extending outwardly from a second side of the first dielectric wall, each second semiconductor layer has a second width, a plurality of third semiconductor layers disposed adjacent the second side of the first dielectric wall, each third semiconductor layer has a third width greater than the second width, a first gate electrode layer surrounding at least three surfaces of each of the first semiconductor layers, the first gate electrode layer having a first conductivity type, and a second gate electrode layer surrounding at least three surfaces of each of the second semiconductor layers, the second gate electrode layer having a second conductivity type opposite the first conductivity type.

IPC Classes  ?

  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

62.

PHOTONIC SEMICONDUCTOR DEVICE, PHOTONIC SEMICONDUCTOR PACKAGE USING THE SAME AND MANUFACTURING METHOD THEREOF

      
Application Number 18099059
Status Pending
Filing Date 2023-01-19
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Yu, Chih-Hao
  • Chao, Jui Lin
  • Hsia, Hsing-Kuo
  • Tai, Shih-Peng
  • Yee, Kuo-Chung

Abstract

A photonic semiconductor device including a light-emitting component and a photonic integrated circuit is provided. The light-emitting component at least includes a gain medium layer, a first contact layer and a first optical coupling layer stacked to each other. The photonic integrated circuit includes a second optical coupling layer. The light-emitting component and the photonic integrated circuit are stacked in a stacking direction, the first optical coupling layer has a first taper portion, the second optical coupling layer has a second taper portion, and the first taper portion and the second taper portion overlap in the stacking direction. Accordingly, the light emitted from the gain medium layer may be transmitted to the second taper portion from the first taper portion by optical coupling in a short length of an optical coupling path.

IPC Classes  ?

  • G02B 6/122 - Basic optical elements, e.g. light-guiding paths
  • G02B 6/12 - Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
  • G02B 6/13 - Integrated optical circuits characterised by the manufacturing method

63.

SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME

      
Application Number 18099236
Status Pending
Filing Date 2023-01-19
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Chen, Chun-Yuan
  • Su, Huan-Chieh
  • Wang, Chih-Hao

Abstract

Embodiments of the present disclosure provide semiconductor device structures and methods of forming the same. The structure includes a first source/drain region disposed under a well portion, a second source/drain region disposed adjacent the first source/drain region, a dielectric material disposed between the first and second source/drain regions, and a conductive contact having a first portion disposed under the first source/drain region and a second portion disposed adjacent the first source/drain region. The second portion is disposed in the dielectric material. The structure further includes a conductive feature disposed in the dielectric material, and the conductive feature is electrically connected to the conductive contact. The conductive feature has a top surface that is substantially coplanar with a top surface of the well portion.

IPC Classes  ?

  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/40 - Electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

64.

VERTICALLY STACKED FeFETS WITH COMMON CHANNEL

      
Application Number 18149734
Status Pending
Filing Date 2023-01-04
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Vellianitis, Georgios
  • Doornbos, Gerben

Abstract

Ferroelectric field effect transistors are in a three-dimensional structure that includes vertical columns. Source/drain electrodes are provided by horizontal conductive layers that are interleaved with dielectric layers. Channels for the FeFETs in each vertical column are provided by a continuous semiconductor layer, e.g., a vertical strip of semiconductor. Another vertical strip may provide the ferroelectric layers for the FeFETs in the vertical column. The gate electrodes are provided by a control gate structure that connects the gate electrodes in parallel. The source/drain electrodes of multiple vertical columns may be connected in parallel. The source/drain electrodes of multiple tiers may also be connected in parallel. This structure provides high area density, adds an extra degree of freedom in circuit design, and lends itself to the use of oxide semiconductor channels.

IPC Classes  ?

  • H10B 51/20 - Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H10B 51/10 - Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout

65.

MULTIPATTERNING GATE PROCESSING

      
Application Number 18155928
Status Pending
Filing Date 2023-01-18
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Cheng, Y.L.
  • Pan, Tzu-Wen
  • Lin, Yu-Hsien
  • Chen, Ryan Chia-Jen

Abstract

Methods for fabricating semiconductor structures are provided. An exemplary method includes forming a first transistor structure and a second transistor structure over a substrate, wherein each transistor structure includes at least one nanosheet. The method further includes depositing a metal over each transistor structure and around each nanosheet; depositing a coating over the metal; depositing a mask over the coating; and patterning the mask to define a patterned mask, wherein the patterned mask lies over a masked portion of the coating and the second transistor structure, and wherein the patterned mask does not lie over an unmasked portion of the coating and the first transistor structure. The method further includes etching the unmasked portion of the coating and the metal over the first transistor structure using a dry etching process with a process pressure of from 30 to 60 (mTorr).

IPC Classes  ?

  • H10B 10/00 - Static random access memory [SRAM] devices

66.

TUNABLE STRUCTURE PROFILE

      
Application Number 18155933
Status Pending
Filing Date 2023-01-18
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Yin, Li-Wei
  • Pan, Tzu-Wen
  • Lin, Yu-Hsien
  • Yang, Jih-Sheng
  • Chao, Shih-Chieh
  • Liang, Chia Ming
  • Lin, Yih-Ann
  • Chen, Ryan Chia-Jen

Abstract

Provided are structures and methods for forming structures with sloping surfaces of a desired profile. An exemplary method includes performing a first etch process to differentially etch a gate material to a recessed surface, wherein the recessed surface includes a first horn at a first edge, a second horn at a second edge, and a valley located between the first horn and the second horn; depositing an etch-retarding layer over the recessed surface, wherein the etch-retarding layer has a central region over the valley and has edge regions over the horns, and wherein the central region of the etch-retarding layer is thicker than the edge regions of the etch-retarding layer; and performing a second etch process to recess the horns to establish the gate material with a desired profile.

IPC Classes  ?

  • H01L 29/40 - Electrodes
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched

67.

TRANSITION BETWEEN DIFFERENT ACTIVE REGIONS

      
Application Number 18159989
Status Pending
Filing Date 2023-01-26
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lin, Po Shao
  • Kuo, Jiun-Ming
  • Peng, Yuan-Ching
  • Lin, You-Ting
  • Jian, Yu Mei

Abstract

Semiconductor structures and methods are provided. A semiconductor structure according to the present disclosure includes a first active region extending lengthwise along a first direction and having a first width along a second direction perpendicular to the first direction, a second active region extending lengthwise along the first direction and having a second width along the second direction, and an epitaxial feature sandwiched between the first active region and the second active region along the first direction. The first width is greater than the second width.

IPC Classes  ?

  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 21/8234 - MIS technology
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/786 - Thin-film transistors

68.

SEMICONDUCTOR CHIP AND MANUFACTURING METHOD THEREOF

      
Application Number 18161778
Status Pending
Filing Date 2023-01-30
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lee, Szu-Hsien
  • Wu, Yun-Chung
  • Lee, Pei-Wei
  • Liu, Fu Wei
  • Wang, Jhao-Yi

Abstract

A semiconductor chip and a manufacturing method thereof are provided. The semiconductor chip includes: an array of pillar structures, disposed on a front surface of the semiconductor chip, and respectively including a ground pillar and multiple working pillars laterally spaced apart from and substantially parallel with a line portion of the ground pillar; and dummy pillar structures, disposed on the front surface of the semiconductor chip and laterally surrounding the pillar structures. Active devices formed inside the semiconductor chip are electrically connected to the working pillar. The ground pillars of the pillar structures and the dummy pillar structures are electrically connected to form a current pathway on the front surface of the semiconductor chip.

IPC Classes  ?

  • H01L 23/58 - Structural electrical arrangements for semiconductor devices not otherwise provided for
  • H01L 21/3205 - Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layers; After-treatment of these layers
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

69.

SEMICONDUCTOR DEVICE STRUCTURE WITH GATE DIELECTRIC LAYER AND METHOD FOR FORMING THE SAME

      
Application Number 18166750
Status Pending
Filing Date 2023-02-09
First Publication Date 2024-04-11
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taiwan, Province of China)
Inventor
  • Kao, Wei-Chih
  • Chiang, Hsin-Che
  • Yeh, Jeng-Ya

Abstract

A method for forming a semiconductor device structure is provided. The method includes forming an isolation layer over a substrate. The method includes forming a spacer layer over the first fin, the second fin, and the isolation layer. The method includes forming a gate dielectric layer in the first trench and covering the first fin, the second fin, and the isolation layer exposed by the first trench. The method includes partially removing the gate dielectric layer to form a second trench in the gate dielectric layer and between the first fin and the second fin. The method includes forming a gate electrode in the first trench of the spacer layer and over the gate dielectric layer.

IPC Classes  ?

  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 21/8234 - MIS technology

70.

TRANSISTOR STRUCTURE WITH GATE ISOLATION STRUCTURES AND METHOD OF FABRICATING THEREOF

      
Application Number 18167169
Status Pending
Filing Date 2023-02-10
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lin, Ta-Chun
  • Liaw, Jhon Jhy

Abstract

Semiconductor structures and processes are provided that include a first gate isolation structure and a second gate isolation structure. The first gate isolation structure may be formed on a dielectric wall from which nanostructure channel regions extend. The second gate isolation structure may be formed on a shallow trench isolation feature. The height of the first gate isolation structure is less than the height of the second gate isolation structure. The composition of the first gate isolation structure may be different than the composition of the second gate isolation structure. In some implementations, the first gate isolation structure is formed concurrently with gate spacers.

IPC Classes  ?

  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 21/8234 - MIS technology
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/786 - Thin-film transistors

71.

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THEREOF

      
Application Number 18169579
Status Pending
Filing Date 2023-02-15
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Ming-Fa
  • Huang, Tze-Chiang
  • Lee, Yun-Han
  • Lu, Lee-Chung

Abstract

A semiconductor package includes a first semiconductor die and a second semiconductor die disposed laterally adjacent one another. The semiconductor package includes a semiconductor bridge overlapping a first corner of the first semiconductor die and a second corner of the second semiconductor die. The semiconductor bridge electrically couples the first semiconductor to the second semiconductor die. The semiconductor package includes a third semiconductor die and a fourth semiconductor die electrically coupled to the first semiconductor die and the second semiconductor die, respectively. The semiconductor bridge is interposed between the third semiconductor die and the fourth semiconductor die.

IPC Classes  ?

  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices
  • H01L 25/18 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different subgroups of the same main group of groups , or in a single subclass of ,
  • H10B 80/00 - Assemblies of multiple devices comprising at least one memory device covered by this subclass

72.

Layer-By-Layer Formation Of Through-Substrate Via

      
Application Number 18192799
Status Pending
Filing Date 2023-03-30
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Hsiao, Tsung-Chieh
  • Wen, Ke-Gang
  • Wang, Liang-Wei
  • Chen, Dian-Hau

Abstract

An integrated circuit (IC) device includes a substrate. The IC device includes a multi-layer interconnect structure disposed over a first side of the substrate. The multi-layer interconnect structure includes a plurality of metal layers. The IC device includes a first portion of a through-substrate via (TSV) disposed over the first side of the substrate. The first portion of the TSV includes a plurality of conductive components belonging to the plurality of metal layers of the multi-layer interconnect structure. The IC device includes a second portion of the TSV that extends vertically through the substrate from the first side to a second side opposite the first side. The second portion of the TSV is electrically coupled to the first portion of the TSV.

IPC Classes  ?

  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/528 - Layout of the interconnection structure

73.

Isolation Regions For Isolating Transistors and the Methods Forming the Same

      
Application Number 18306716
Status Pending
Filing Date 2023-04-25
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Kuo, Tai-Jung
  • Shih, Po-Cheng
  • Hsieh, Wan Chen
  • Wu, Zhen-Cheng
  • Lin, Chia-Hui
  • Lee, Tze-Liang

Abstract

A method includes etching a gate stack in a wafer to form a trench, depositing a silicon nitride liner extending into the trench, and depositing a silicon oxide layer. The process of depositing the silicon oxide layer includes performing a treatment process on the wafer using a process gas including nitrogen and hydrogen, and performing a soaking process on the wafer using a silicon precursor.

IPC Classes  ?

  • H01L 21/762 - Dielectric regions
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/8234 - MIS technology
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

74.

ION IMPLANTATION WITH ANNEALING FOR SUBSTRATE CUTTING

      
Application Number 18390439
Status Pending
Filing Date 2023-12-20
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Chang, Huicheng
  • Sheu, Jyh-Cherng
  • Tsai, Chen-Fong
  • Teng, Yun Chen
  • Chen, Han-De
  • Yeo, Yee-Chia

Abstract

Methods of ion implantation combined with annealing using a pulsed laser or a furnace for cutting substrate in forming semiconductor devices and semiconductor devices including the same are disclosed. In an embodiment, a method includes forming a transistor structure of a device on a first semiconductor substrate; forming a front-side interconnect structure over a front side of the transistor structure; bonding a carrier substrate to the front-side interconnect structure; implanting ions into the first semiconductor substrate to form an implantation region of the first semiconductor substrate; and removing the first semiconductor substrate. Removing the first semiconductor substrate includes applying an annealing process to separate the implantation region from a remainder region of the first semiconductor substrate. The method also includes forming a back-side interconnect structure over a back side of the transistor structure.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 21/265 - Bombardment with wave or particle radiation with high-energy radiation producing ion implantation
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
  • H01L 21/78 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices

75.

Antenna Effect Protection and Electrostatic Discharge Protection for Three-Dimensional Integrated Circuit

      
Application Number 18448045
Status Pending
Filing Date 2023-08-10
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Huang, Po-Hsiang
  • Chang, Fong-Yuan
  • Wang, Tsui-Ping
  • Chu, Yi-Shin

Abstract

A 3D IC package is provided. The 3D IC package includes: a first IC die comprising a first substrate at a back side of the first IC die; a second IC die stacked at the back side of the first IC die and facing the first substrate; a TSV through the first substrate and electrically connecting the first IC die and the second IC die, the TSV having a TSV cell including a TSV cell boundary surrounding the TSV; and a protection module fabricated in the first substrate, wherein the protection module is electrically connected to the TSV, and the protection module is within the TSV cell.

IPC Classes  ?

  • H01Q 1/22 - Supports; Mounting means by structural association with other equipment or articles
  • H01L 23/66 - High-frequency adaptations
  • H01Q 1/50 - Structural association of antennas with earthing switches, lead-in devices or lightning protectors
  • H01Q 23/00 - Antennas with active circuits or circuit elements integrated within them or attached to them

76.

SPACER SCHEME AND METHOD FOR MRAM

      
Application Number 18507152
Status Pending
Filing Date 2023-11-13
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Liou, Joung-Wei
  • Lan, Chin Kun

Abstract

An MRAM cell has a bottom electrode, a metal tunneling junction, and a top electrode. The metal tunneling junction has a side surface between the bottom electrode and the top electrode. A thin layer on the side surface includes one or more compounds of a metal found in one of the electrodes. The thin layer has a lower conductance than the MTJ. The electrode metal may have been deposited on the side during MTJ patterning and subsequently been reacted to form a compound having a lower conductance than a nitride of the electrode metal. The thin layer may include an oxide deposited over the redeposited electrode metal. The thin layer may include a compound of the electrode metal deposited over the redeposited electrode metal. A silicon nitride spacer may be formed over the thin layer without forming nitrides of the electrode metal.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment

77.

CHIP PACKAGE STRUCTURE WITH RING-LIKE STRUCTURE

      
Application Number 18543124
Status Pending
Filing Date 2023-12-18
First Publication Date 2024-04-11
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Yang, Sheng-Yao
  • Li, Ling-Wei
  • Wu, Yu-Jui
  • Huang, Cheng-Lin
  • Li, Chien-Chen
  • Chen, Lieh-Chuan
  • Chu, Che-Jung
  • Liu, Kuo-Chio

Abstract

A chip package structure is provided. The chip package structure includes a chip. The chip package structure includes a conductive ring-like structure over and electrically insulated from the chip. The conductive ring-like structure surrounds a central region of the chip. The chip package structure includes a first solder structure over the conductive ring-like structure. The first solder structure and the conductive ring-like structure are made of different materials.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

78.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 18106812
Status Pending
Filing Date 2023-02-07
First Publication Date 2024-04-04
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY , LTD. (Taiwan, Province of China)
Inventor
  • You, Jia-Chuan
  • Chang, Chia-Hao
  • Chiang, Kuo-Cheng
  • Wang, Chin-Hao

Abstract

A method of manufacturing a semiconductor device includes forming a gate electrode structure over a channel region, wherein the gate electrode structure includes a gate dielectric layer disposed over the first channel region, a gate electrode disposed over the gate dielectric layer, and insulating spacers disposed over opposing sidewalls of the gate electrode, wherein the gate dielectric layer is disposed over opposing sidewalls of the gate electrode. An interlayer dielectric layer is formed over opposing sidewalls of the insulating spacers. The insulating spacers are removed from an upper portion of the opposing sidewalls of the gate electrode to form trenches between the opposing sidewalls of the upper portion of the gate electrode and the interlayer dielectric layer, and the trenches are filled with an insulating material.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

79.

THRESHOLD VOLTAGE MODULATION FOR THIN FILM TRANSISTORS

      
Application Number 18149312
Status Pending
Filing Date 2023-01-03
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Yan-Yi
  • Tsai, Wu-Wei
  • Hsiang, Yu-Ming
  • Chen, Hai-Ching
  • Lin, Yu-Ming
  • Lin, Chung-Te

Abstract

Some embodiments relate to a thin film transistor comprising an active layer over a substrate. An insulator is stacked with the active layer. A gate electrode structure is stacked with the insulator and includes a gate material layer having a first work function and a first interfacial layer. The first interfacial layer is directly between the insulator and the gate material layer, wherein the gate electrode structure has a second work function that is different from the first work function.

IPC Classes  ?

  • H01L 29/786 - Thin-film transistors
  • H01L 27/07 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
  • H01L 29/66 - Types of semiconductor device

80.

TRANSISTOR DEVICE WITH MULTI-LAYER CHANNEL STRUCTURE

      
Application Number 18149715
Status Pending
Filing Date 2023-01-04
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Cheng, Ya-Yun
  • Lu, Wen-Ling
  • Chiu, Yu-Chien
  • Wu, Chung-Wei
  • Wu, Zhiqiang

Abstract

An integrated chip including a gate layer. An insulator layer is over the gate layer. A channel structure is over the insulator layer. A pair of source/drains are over the channel structure and laterally spaced apart by a dielectric layer. The channel structure includes a first channel layer between the insulator layer and the pair of source/drains, a second channel layer between the insulator layer and the dielectric layer, and a third channel layer between the second channel layer and the dielectric layer. The first channel layer, the second channel layer, and the third channel layer include different semiconductors.

IPC Classes  ?

81.

Integrated Circuit Package and Method

      
Application Number 18150240
Status Pending
Filing Date 2023-01-05
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Co., Ltd (Taiwan, Province of China)
Inventor
  • Liu, Chung-Shi
  • Chang, Mao-Yen
  • Lai, Yu-Chia
  • Pan, Kuo-Lung
  • Tsai, Hao-Yi
  • Hsieh, Ching-Hua
  • Lin, Hsiu-Jen
  • Teng, Po-Yuan
  • Wu, Cheng-Chieh
  • Liao, Jen-Chun

Abstract

An integrated circuit package including electrically floating metal lines and a method of forming are provided. The integrated circuit package may include integrated circuit dies, an encapsulant around the integrated circuit dies, a redistribution structure on the encapsulant, a first electrically floating metal line disposed on the redistribution structure, a first electrical component connected to the redistribution structure, and an underfill between the first electrical component and the redistribution structure. A first opening in the underfill may expose a top surface of the first electrically floating metal line.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 23/40 - Mountings or securing means for detachable cooling or heating arrangements
  • H01L 25/18 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different subgroups of the same main group of groups , or in a single subclass of ,
  • H10B 80/00 - Assemblies of multiple devices comprising at least one memory device covered by this subclass

82.

INTEGRATED CIRCUIT PACKAGES AND METHODS

      
Application Number 18150256
Status Pending
Filing Date 2023-01-05
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan, Province of China)
Inventor
  • Chen, Hsu-Hsien
  • Chen, Chen-Shien
  • Kuo, Ting Hao
  • Lin, Chi-Yen
  • Huang, Yu-Chih

Abstract

An integrated circuit package including integrated circuit dies with slanted sidewalls and a method of forming are provided. The integrated circuit package may include a first integrated circuit die, a first gap-fill dielectric layer around the first integrated circuit die, a second integrated circuit die underneath the first integrated circuit die, and a second gap-fill dielectric layer around the second integrated circuit die. The first integrated circuit die may include a first substrate, wherein a first angle is between a first sidewall of the first substrate and a bottom surface of the first substrate, and a first interconnect structure on the bottom surface of the first substrate, wherein a second angle is between a first sidewall of the first interconnect structure and the bottom surface of the first substrate. The first angle may be larger than the second angle.

IPC Classes  ?

  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/306 - Chemical or electrical treatment, e.g. electrolytic etching
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 21/78 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates

83.

COMPOSITE GATE DIELECTRIC FOR HIGH-VOLTAGE DEVICE

      
Application Number 18150266
Status Pending
Filing Date 2023-01-05
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Song, Jhu-Min
  • Chen, Ying-Chou
  • Ciou, Yi-Kai
  • Chou, Chien-Chih
  • Chen, Fei-Yun
  • Jong, Yu-Chang
  • Lin, Chi-Te

Abstract

The present disclosure relates to an integrated chip. The integrated chip includes a substrate having one or more interior surfaces forming a recess within an upper surface of the substrate. Source/drain regions are disposed within the substrate on opposing sides of the recess. A first gate dielectric is arranged along the one or more interior surfaces forming the recess, and a second gate dielectric is arranged on the first gate dielectric and within the recess. A gate electrode is disposed on the second gate dielectric. The second gate dielectric includes one or more protrusions that extend outward from a recessed upper surface of the second gate dielectric and that are arranged along opposing sides of the second gate dielectric.

IPC Classes  ?

  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 21/8234 - MIS technology
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

84.

SEMICONDUCTOR DIE PACKAGE AND METHODS OF FORMATION

      
Application Number 18151059
Status Pending
Filing Date 2023-01-06
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Su, Shu-Hui
  • Cheng, Hsin-Li
  • Tsui, Yingkit Felix

Abstract

A semiconductor die included in a semiconductor die package may include a plurality of decoupling trench capacitor regions in a device region of the semiconductor die. At least two or more of the decoupling trench capacitor regions include decoupling trench capacitor structures having different depths. The depths of the decoupling trench capacitor structures in the decoupling trench capacitor regions may be selected to provide sufficient capacitance so as to satisfy circuit decoupling parameters for circuits of the semiconductor die package, while reducing the likelihood of warping, breaking, and/or cracking of the semiconductor die package.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 23/60 - Protection against electrostatic charges or discharges, e.g. Faraday shields

85.

SEMICONDUCTOR DEVICE

      
Application Number 18152169
Status Pending
Filing Date 2023-01-10
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lin, Ta-Chun
  • Liang, Chun-Sheng
  • Chang, Chih-Hao
  • Liaw, Jhon Jhy

Abstract

A semiconductor device includes a substrate, a first stack of semiconductor nanosheets, a second stack of semiconductor nanosheets, a gate structure and a first dielectric wall. The substrate includes a first fin and a second fin. The first stack of semiconductor nanosheets is disposed on the first fin. The second stack of semiconductor nanosheets is disposed on the second fin. The gate structure wraps the first stack of semiconductor nanosheets and the second stack of semiconductor nanosheets. The first dielectric wall is disposed between the first stack of semiconductor nanosheets and the second stack of semiconductor nanosheets. The first dielectric wall includes at least one neck portion between adjacent two semiconductor nanosheets of the first stack.

IPC Classes  ?

  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 29/786 - Thin-film transistors

86.

SEMICONDUCTOR STRUCTURE AND METHOD OF MANUFACTURING THE SAME

      
Application Number 18152172
Status Pending
Filing Date 2023-01-10
First Publication Date 2024-04-04
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taiwan, Province of China)
Inventor
  • Hsieh, Feng-Chien
  • Cheng, Yun-Wei
  • Lee, Kuo-Cheng
  • Wu, Cheng-Ming
  • Chang, Ping Kuan

Abstract

The present disclosure provides a semiconductor structure and a method of manufacturing the same. The semiconductor structure includes a sensing device, a solar cell, and an interconnecting structure. The solar cell is disposed above the sensing device and is electrically connected to the sensing device. The interconnecting structure is disposed between the sensing device and the solar cell and has a first surface facing the solar cell and a second surface facing the sensing devices. The interconnecting structure comprises a first energy storage component and a second energy storage component. The first energy storage component is disposed closer to the first surface of the interconnecting structure than the second energy storage component.

IPC Classes  ?

  • H01L 31/02 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof - Details
  • H01L 25/18 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different subgroups of the same main group of groups , or in a single subclass of ,
  • H01L 31/053 - Energy storage means directly associated or integrated with the PV cell, e.g. a capacitor integrated with a PV cell
  • H01L 31/18 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof

87.

SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF

      
Application Number 18152176
Status Pending
Filing Date 2023-01-10
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Hu, Tian
  • Wang, Po-Han
  • Liao, Sih-Hao
  • Hu, Yu-Hsiang
  • Kuo, Hung-Jui

Abstract

A semiconductor package and a manufacturing method thereof are provided. The semiconductor package includes a die, an underfill layer, a patterned dielectric layer and a plurality of conductive terminals. The die has a front surface and a back surface opposite to the front surface. The underfill layer encapsulates the die, wherein a surface of the underfill layer and the back surface of the die are substantially coplanar to one another. The patterned dielectric layer is disposed on the back surface of the die. The conductive terminals are disposed on and in contact with a surface of the patterned dielectric layer and partially embedded in the patterned dielectric layer to be in contact with the die, wherein a portion of the surface of the patterned dielectric layer that directly under each of the conductive terminals is substantially parallel with the back surface of the die.

IPC Classes  ?

  • H01L 25/10 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices

88.

INDUCTOR AND METHOD OF FORMING THE SAME

      
Application Number 18152726
Status Pending
Filing Date 2023-01-10
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Lu, Po-Sheng
  • Liu, Chien-Hung
  • Xu, Nuo

Abstract

An inductor and a method of forming the same are provided. The inductor includes a patterned wire structure. The patterned wire structure includes a conductive core, a dielectric film and a magnetic shell. The conductive core includes a pair of end surfaces and an outer surface between the pair of end surfaces. The dielectric film covers the outer surface. The magnetic shell covers the dielectric film. The dielectric film is between the conductive core and the magnetic shell.

IPC Classes  ?

  • H01F 17/02 - Fixed inductances of the signal type without magnetic core

89.

ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME

      
Application Number 18154863
Status Pending
Filing Date 2023-01-16
First Publication Date 2024-04-04
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taiwan, Province of China)
Inventor
  • Wang, Jer-Fu
  • Cheng, Chao-Ching
  • Chiang, Hung-Li
  • Radu, Iuliana

Abstract

An electronic device and a method for manufacturing the same are provided. The electronic device includes a substrate and a gate structure. The substrate includes a fin. The fin includes a source region and a drain region spaced apart from the source region. The gate structure is located between the source region and the drain region. The gate structure includes a work function layer. The work function layer includes a compound of a metal material and a Group VIA material.

IPC Classes  ?

90.

PACKAGE WITH SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME

      
Application Number 18155076
Status Pending
Filing Date 2023-01-17
First Publication Date 2024-04-04
Owner TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taiwan, Province of China)
Inventor
  • Lo, Wen-Shun
  • Yang, Jing-Hwang
  • Tsui, Yingkit Felix

Abstract

The present disclosure provides a package with a semiconductor structure and a method for manufacturing the semiconductor structure. In some embodiments, a photonic semiconductor structure includes a substrate having a first side and a second side opposite to each other, a first redistribution layer disposed on the first side, an interconnect structure disposed on the second side of the substrate, a metal reflector disposed in the interconnect structure, a dielectric layer disposed over the interconnect structure, and a grating coupler disposed in the dielectric layer and overlapping the metal reflector.

IPC Classes  ?

  • H01L 25/16 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different main groups of groups , or in a single subclass of , , e.g. forming hybrid circuits
  • G02B 6/42 - Coupling light guides with opto-electronic elements
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates

91.

METHOD OF MODULATING MULTI-GATE DEVICE CHANNELS AND STRUCTURES THEREOF

      
Application Number 18157054
Status Pending
Filing Date 2023-01-19
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Liu, Ko-Cheng
  • Liu, Chang-Miao

Abstract

A method of fabricating a device includes providing a plurality of fins extending from a substrate. In some embodiments, each fin of the plurality of fins includes a plurality of semiconductor channel layers. In various example, the method further includes performing an ion implantation process into a first fin of the plurality of fins to introduce a dopant species into a topmost semiconductor channel layer of the plurality of semiconductor channel layers of the first fin. In some embodiments, the ion implantation process deactivates the topmost semiconductor channel layer of the plurality of semiconductor channel layers of the first fin.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 21/225 - Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions; Redistribution of impurity materials, e.g. without introduction or removal of further dopant using diffusion into, or out of, a solid from or into a solid phase, e.g. a doped oxide layer
  • H01L 21/265 - Bombardment with wave or particle radiation with high-energy radiation producing ion implantation
  • H01L 21/266 - Bombardment with wave or particle radiation with high-energy radiation producing ion implantation using masks
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/10 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

92.

MULTI-GATE DEVICE INNER SPACER AND METHODS THEREOF

      
Application Number 18159625
Status Pending
Filing Date 2023-01-25
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Wang, Chih-Ching
  • Lee, Wei-Yang
  • Lai, Bo-Yu
  • Yang, Chung-I
  • Lin, Sung-En

Abstract

Methods and structures for modulating an inner spacer profile include providing a fin having an epitaxial layer stack including a plurality of semiconductor channel layers interposed by a plurality of dummy layers. In some embodiments, the method further includes removing the plurality of dummy layers to form a first gap between adjacent semiconductor channel layers of the plurality of semiconductor channel layers. Thereafter, in some examples, the method includes conformally depositing a dielectric layer to substantially fill the first gap between the adjacent semiconductor channel layers. In some cases, the method further includes etching exposed lateral surfaces of the dielectric layer to form an etched-back dielectric layer that defines substantially V-shaped recesses. In some embodiments, the method further includes forming a substantially V-shaped inner spacer within the substantially V-shaped recesses.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

93.

SEMICONDUCTOR DEVICE

      
Application Number 18170259
Status Pending
Filing Date 2023-02-16
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Tsai, Ming-Heng
  • Lin, Ta-Chun

Abstract

Semiconductor devices are provided. A semiconductor device includes a first well region having a first conductivity type, a second well region having a second conductivity type, a cell, and a pickup tap cell. The cell includes a first forksheet structure. The first forksheet structure includes a first transistor formed over the first well region, a second transistor formed over the second well region, and a first wall structure disposed on and extending along an interface between the first and second well regions. The first transistor and the second transistor are disposed on opposite sides of the first wall structure. The pickup tap cell includes a nanosheet structure. The nanosheet structure includes a pickup transistor formed over the second well region. Source/drain features of the first transistor and the pickup transistor have the second conductivity type, and source/drain features of the second transistor have the first conductivity type.

IPC Classes  ?

  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET

94.

SEMICONDUCTOR STRUCTURE WITH DIELECTRIC SPACER AND METHOD FOR MANUFACTURING THE SAME

      
Application Number 18177909
Status Pending
Filing Date 2023-03-03
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Chang, Che-Lun
  • Pan, Kuan-Ting
  • Lee, Wei-Yang

Abstract

Semiconductor structures and methods for manufacturing the same are provided. The semiconductor structure includes a first channel member suspended over a substrate and a second channel member suspended over the first channel member and spaced apart from the first channel member along a first direction. The semiconductor structure also includes a gate structure wrapping around the first channel member and the second channel member and a dielectric structure encircled by the first channel member, the second channel member, the gate structure, and the source/drain structure. In addition, the dielectric structure includes a porous material or an air gap. The semiconductor structure also includes a first epitaxial layer attached to the first channel member, and the first epitaxial layer has a first extending portion protruding from a bottom surface of the first channel member along the first direction and extending into the dielectric structure.

IPC Classes  ?

  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

95.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 18178522
Status Pending
Filing Date 2023-03-05
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Hung, Yi-Tse
  • Li, Meng-Zhan
  • Chen, Tzu-Chiang
  • Cheng, Chao-Ching
  • Radu, Iuliana

Abstract

A semiconductor device includes a substrate, a channel layer, a gate structure, source/drain regions, and an insulating layer. The channel layer is disposed over the substrate. The gate structure is disposed over the channel layer. The source/drain regions are disposed over the substrate and disposed at two opposite sides of the channel layer. The insulating layer is disposed between the channel layer and the source/drain regions.

IPC Classes  ?

  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 21/8234 - MIS technology
  • H01L 29/10 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/18 - Selenium or tellurium only, apart from doping materials or other impurities
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

96.

Semiconductor Structures And Methods Of Forming The Same

      
Application Number 18194224
Status Pending
Filing Date 2023-03-31
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor
  • Tu, Wen-Chiung
  • Chen, Dian-Hau
  • Huang, Chen-Chiu
  • Shen, Hsiang-Ku

Abstract

Semiconductor structures and methods are provided. An exemplary method includes forming a first conductive feature in a dielectric layer, forming a metal-insulator-metal (MIM) capacitor over the dielectric layer, forming a first passivation structure over the MIM capacitor, forming a first contact via opening extending through the first passivation structure and the MIM capacitor to expose the first conductive feature, depositing a conductive material to fill the first contact via opening, performing a first etching process to the conductive material to form a first metal feature, the first metal feature comprising a first portion filling the first contact via opening and a second portion over the first passivation structure, and performing a second etching process to trim the second portion of the first metal feature, after the second etching process, a shape of a cross-sectional view of the second portion of the first metal feature comprises a barrel shape.

IPC Classes  ?

  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 23/528 - Layout of the interconnection structure

97.

EMBEDDED MEMORY DEVICE WITH REDUCED PLASMA-INDUCED DAMAGE AND METHODS OF FORMING THE SAME

      
Application Number 18303631
Status Pending
Filing Date 2023-04-20
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Chuang, Harry-Hak-Lay
  • Wang, Hung Cho
  • You, Wen-Chun

Abstract

A semiconductor device and methods of fabrication thereof including a substrate, a doped well formed in the substrate, a transistor formed on the substrate, a dielectric material located over the doped well and the transistor and including interconnect structures extending through the dielectric material, the interconnect structures including a first set of interconnect structures electrically coupled to an active region of the transistor and a second set of interconnect structures electrically coupled to the doped well, an active memory cell electrically coupled to the active region of the transistor via the first set of interconnect structures; and a dummy memory cell electrically coupled to the doped well via the second set of conductive interconnect structures. The dummy memory cell and the second set of conductive interconnect structures may provide a low resistance pathway for plasma charge to flow to the doped well, thereby minimizing plasma induced damage to the transistor.

IPC Classes  ?

  • H01L 23/60 - Protection against electrostatic charges or discharges, e.g. Faraday shields
  • H10B 53/30 - Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10B 63/00 - Resistance change memory devices, e.g. resistive RAM [ReRAM] devices

98.

RESISTIVE MEMORY DEVICE INCLUDING A SILICON OXIDE BASE SPACER AND METHODS FOR FORMING THE SAME

      
Application Number 18303682
Status Pending
Filing Date 2023-04-20
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Sung, Fu-Ting
  • Chen, Jhih-Bin
  • Huang, Hung-Shu
  • Liu, Hong Ming
  • Chen, Hsia-Wei
  • Liao, Yu-Wen
  • Chu, Wen-Ting

Abstract

A semiconductor structure includes: an etch-stop dielectric layer overlying a substrate and including a first opening therethrough; a silicon oxide plate overlying the etch-stop dielectric layer and including a second opening therethrough; a first conductive structure including a first electrode and extending through the second opening and the first opening; a memory film contacting a top surface of the first conductive structure and including a material that provides at least two resistive states having different electrical resistivity; and a second conductive structure including a second electrode and contacting a top surface of the memory film.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 23/528 - Layout of the interconnection structure
  • H10B 63/00 - Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
  • H10N 70/20 - Multistable switching devices, e.g. memristors

99.

PHASE CHANGE MATERIAL (PCM) SWITCH WITH VARIABLY SPACED SPREADER LAYER STRUCTURES AND METHODS OF FORMING THE SAME

      
Application Number 18304513
Status Pending
Filing Date 2023-04-21
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company Limited (Taiwan, Province of China)
Inventor
  • Li, Fu-Hai
  • Huang, Kuo-Ching
  • Ong, Yi Ching

Abstract

A switch includes a heater layer, a phase change material (PCM) layer on the heater layer, and a spreader layer formed in proximity to the PCM layer and including a central region with a first thermal conductivity and an edge region with a second thermal conductivity different than the first thermal conductivity. A method of forming a switch includes forming a heater layer, forming a phase change material (PCM) layer on the heater layer, and forming a spreader layer in proximity to the PCM layer, such that the spreader layer includes a central region with a first thermal conductivity and an edge region with a second thermal conductivity different than the first thermal conductivity.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10N 70/20 - Multistable switching devices, e.g. memristors

100.

SELF-ALIGNED CONTACT LANDING ON A METAL CIRCUIT

      
Application Number 18305708
Status Pending
Filing Date 2023-04-24
First Publication Date 2024-04-04
Owner Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan, Province of China)
Inventor Lin, Ming-Hsun

Abstract

Some implementations described herein include an integrated circuit device including landing circuitry and methods of formation. The landing circuitry, which may be part of a trench capacitor region, includes a stair-shaped profile that extends into a silicon substrate of the integrated circuit device. The landing circuitry includes electrode layers of the trench capacitor region interspersed with layers of a dielectric material. The landing circuitry further includes spacer structures on ends of the electrode layers along the stair-shaped profile.

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 21/8234 - MIS technology
  1     2     3     ...     100        Next Page