Siemens Industry Software Inc.

United States of America

Back to Profile

1-100 of 1,279 for Siemens Industry Software Inc. Sort by
Query
Patent
United States - USPTO
Aggregations Reset Report
Date
New (last 4 weeks) 1
2024 April (MTD) 1
2024 March 1
2024 February 1
2024 January 8
See more
IPC Class
G06F 17/50 - Computer-aided design 639
G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer 118
G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines 78
G01R 31/3185 - Reconfiguring for testing, e.g. LSSD, partitioning 58
G06F 11/00 - Error detection; Error correction; Monitoring 45
See more
Status
Pending 96
Registered / In Force 1,183
Found results for  patents
  1     2     3     ...     13        Next Page

1.

VARIABILITY CHARACTERIZATION WITH TRUNCATED ORDERED SAMPLE SIMULATION

      
Application Number 18277147
Status Pending
Filing Date 2021-03-12
First Publication Date 2024-04-18
Owner Siemens Industry Software Inc. (USA)
Inventor Cooper, James

Abstract

A computing system implementing a design characterization tool can sample a distribution of values describing manufacturing variation for an integrated circuit described by a circuit design. The design characterization tool can utilize a set of the samples to generate a surrogate model of the circuit design, and can order another set of the samples based on predicted outputs of the surrogate model. The design characterization tool can simulate the surrogate model or the circuit design utilizing the ordered samples, and stop the simulations prior to all of the samples from the distribution having been utilized in the simulations. The design characterization tool can utilize a confidence interval stopping condition or a drought stopping condition to determine when to stop the simulations. The design characterization tool can utilize results of the simulations to characterize operational variation of the circuit design to the manufacturing variation described in the distribution of the values.

IPC Classes  ?

  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation
  • G06F 30/3315 - Design verification, e.g. functional simulation or model checking using static timing analysis [STA]

2.

READ-ONLY MEMORY DIAGNOSIS AND REPAIR

      
Application Number 18273059
Status Pending
Filing Date 2021-01-29
First Publication Date 2024-03-14
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Nadeau-Dostie, Benoit
  • Yun, Jongsin

Abstract

A testing circuit configured to test and diagnose a read-only memory comprises two multiple-input signature registers configured to generate two sets of signatures for multiple iterations of reading some or all of words stored in the read-only memory, control circuitry configured to control, according to a test algorithm, from which of the outputs of the read-only memory each of the two multiple-input signature registers receives test response signal bits for each of the reading operations during each of the iterations, and a faulty element location determination device configured to generate a faulty element location signal for the read-only memory based on results of comparing the two sets of signatures with reference signatures.

IPC Classes  ?

  • G11C 29/38 - Response verification devices
  • G11C 29/44 - Indication or identification of errors, e.g. for repair

3.

DEFECT DIAGNOSIS WITH DYNAMIC ROOT CAUSE DETECTION

      
Application Number 17823273
Status Pending
Filing Date 2022-08-30
First Publication Date 2024-02-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Qi, Xiaoyuan
  • Jiang, Fan
  • Veda, Gaurav
  • Sharma, Manish
  • Cheng, Wu-Tung

Abstract

This application discloses a computing system to identify suspected defects in a manufactured integrated circuit, which correspond to electrical failures detected by a test applied to the manufactured integrated circuit. The computing system can utilize the suspected defects in the manufactured integrated circuit to cluster features in a physical layout design describing the manufactured integrated circuit. Each cluster of the features corresponds to a candidate for a physical root cause of the suspected defects in the manufactured integrated circuit. The computing system can detect a physical root cause of the electrical failures in the manufactured integrated circuit based on the clusters of the features. A physical failure analysis process includes an inspection of the manufactured integrated circuit to confirm the physical root cause of the electrical failures in the manufactured integrated circuit corresponds to a systemic manufacturing fault in the manufactured integrated circuit.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

4.

WAFER IMAGE DEFECT DETECTION AND CHARACTERIZATION FOR MANUFACTURING PROCESS CALIBRATION

      
Application Number 17823235
Status Pending
Filing Date 2022-08-30
First Publication Date 2024-02-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Ahi, Kiarash
  • Fenger, Germain Louis
  • Wu, Hsin-Wei

Abstract

A computing system implementing a raw data filtering tool can aggregate multiple wafer images depicting a portion of an electronic device into a reference image, detect one or more of the wafer images have defects based on a comparison of the reference image to the wafer images, and generate a gauge file to include a set of the wafer images selected based on the detection of defects in the wafer images. The raw data filtering tool also can iteratively build defect maps that include differences between the reference image and the wafer images, and characterize the detected defect in the wafer images with a size and a location based on the defect maps. The raw data filtering tool can provide feedback to a foundry about wafer images were excluded from the set of the wafer images based on the detection of defects in the wafer images.

IPC Classes  ?

5.

EDGE CENTER POINT-BASED CHARACTERIZATION OF SEMICONDUCTOR LAYOUT DESIGNS

      
Application Number 17823352
Status Pending
Filing Date 2022-08-30
First Publication Date 2024-02-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Hegazy, Hazem
  • Hamed-Fatehy, Ahmed
  • Khalaf, Sara
  • Elsewefy, Omar

Abstract

A computing system implementing a physical verification tool can identify edges of a geometric pattern located within a search area surrounding a point of interest in a semiconductor layout design, characterize the edges of the geometric pattern based on locations of center points of the edges from the point of interest within the search area, and generate geometrical feature vectors for the point of interest in the semiconductor layout design based on the characterization of the edges of the geometric pattern. The computing system can reconstruct the semiconductor layout design corresponding to the search area surrounding the point of interest using the geometrical feature vectors for the point of interest in the semiconductor layout design.

IPC Classes  ?

  • G06V 10/44 - Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components
  • G06T 7/70 - Determining position or orientation of objects or cameras

6.

METHOD AND SYSTEM FOR REGULATING A MULTI-PART 3D PRINTING ORDER TRANSFER

      
Application Number 17898657
Status Pending
Filing Date 2022-08-30
First Publication Date 2024-02-29
Owner Siemens Industry Software Inc. (USA)
Inventor Aricha, Yahel

Abstract

Systems and a method for regulating a multi-part 3D printing order transfer between at least one requesting unit and a plurality of supplying units. Access to a nesting module is received. A quote module is defined for providing a price quote for a printing order based on material cost and on production cost. At least a portion of the production cost is calculated based on required build portion and on selected printing job type. For each supplying unit, it is received data on supplier auto-quote profile. Aata on a multi-part order of N parts requested by the requesting unit. For each relevant supplying unit and by using said two modules customized in via the auto-quote profiles, it is calculated a supplier quote to the nestable part subset, by applying the customized quote module—with the identified build portion size and with the type of the identified jobs as module input parameters. Supplying units are identified and the 3D printing order transfer is regulated by matching a selected set of supplying units to the requesting unit.

IPC Classes  ?

  • G05B 19/4099 - Surface or curve machining, making 3D objects, e.g. desktop manufacturing

7.

CLOCK DOMAIN CROSSING VERIFICATION WITH SETUP ASSISTANCE

      
Application Number 17900508
Status Pending
Filing Date 2022-08-31
First Publication Date 2024-02-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Takara, Kurt
  • Khare, Sulabh Kumar
  • Shah, Kaushal Viral
  • Ganguly, Debraj

Abstract

A computing system can perform static verification operations on a circuit design with a first set of design constraints characterizing portions of an electronic device described by the circuit design and identify one or more violations associated with clock domain crossings in the circuit design. The computing system can analyze the circuit design and the first set of the design constraints to determine at least one of the violations associated with the clock domain crossings in the circuit design corresponds to the first set of the design constraints, and generate one or more additional design constraints to integrate into the first set of the design constraints based on the analysis of the circuit design and the first set of the design constraints. The computing system can re-perform the static verification operations on the circuit design based on a second set of the design constraints that includes the additional design constraints.

IPC Classes  ?

8.

METHOD AND SYSTEM FOR DETECTING A FALSE ERROR ON A COMPONENT OF A BOARD INSPECTED BY AN AOI MACHINE

      
Application Number 18553652
Status Pending
Filing Date 2021-03-30
First Publication Date 2024-02-15
Owner Siemens Industry Software Inc. (USA)
Inventor Yadin, Tova

Abstract

Systems and a method for detecting a false error in a set of errors detected on components of a board that is inspected by an automated optical inspection (AOI) machine. Input data are received. The input data include data originating from AOI machine's inspection results of a given inspected board marked as failed. A false error detector is applied to the input data. The detector is modeled with a trained function and the detector generates output data. The output data determines whether or not at least one of the component errors that are reported by the AOI machine for the given board is a false error.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined

9.

METHOD AND SYSTEM FOR PERFORMING CLEARANCE ANALYSIS OF A PRODUCT ASSEMBLY IN A COMPUTER AIDED-DESIGN (CAD) ENVIRONMENT

      
Application Number 18023833
Status Pending
Filing Date 2020-08-31
First Publication Date 2024-01-25
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Garimella, Raman
  • Gorave, Vinayak
  • Phadnis, Swapnil
  • Shingavi, Monika
  • Sodhi, Rajneet
  • Stevenson, Matthew
  • Taraphdar, Sumit

Abstract

A method and system for performing clearance analysis of a product assembly in a computer-aided design (CAD) environment is disclosed. A method includes receiving a request for evaluating clearance between components of a product assembly in a CAD environment from a user device. The request includes a unique identifier of the product assembly. The method includes obtaining product data associated with the product assembly from a PDM database based on the unique identifier of the product assembly, and iteratively decomposing a product space including the product assembly in the CAD environment into a plurality of variable-sized partitions based on the product data. The method also includes selecting one or more variable-sized partitions for evaluating clearance between the components in the product assembly from the plurality of the variable-sized partitions, and evaluating clearance between the components in the selected variable-sized partitions.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation
  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

10.

METHOD FOR INSPECTING A CORRECT EXECUTION OF A PROCESSING STEP OF COMPONENTS, IN PARTICULAR A WIRING HARNESS, DATA STRUCTURE, AND SYSTEM

      
Application Number 18266032
Status Pending
Filing Date 2020-12-09
First Publication Date 2024-01-25
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Dürr, Matthias
  • Nosek, Pavel
  • Kuhn, Marlene
  • Nguyen, Huong Giang

Abstract

The described method as a key enabler for Optical Inspection dynamically uses individual marks like fiducials, barcodes, data matrix codes (“markers”) in the scenes, beyond their basic presence, meaning the change of situation between a first processing status and a subsequent processing status in the processing station. The same markers are simultaneously used for: the identification of components (“comp”); the identification of locations (“loc”); the definition of dependencies between identity and location (valid, invalid); and the automated detection and evaluation of the dependencies.

IPC Classes  ?

11.

METHOD OF PROGRAMMING A SOFTWARE MODULE ASSOCIATED WITH A FIRMWARE UNIT OF A DEVICE

      
Application Number 18023719
Status Pending
Filing Date 2020-08-28
First Publication Date 2024-01-11
Owner Siemens Industry Software Inc. (USA)
Inventor Ollitervo, Sakari

Abstract

A method of programming a software module associated with a firmware unit of a device is provided. The method includes obtaining a register transfer level program associated with the firmware unit. The register transfer level program includes a plurality of register variables indicative of a plurality of registers in the firmware unit, defined within a first namespace of the register transfer level program. The method includes linking the first namespace associated with the register transfer level program with a namespace associated with a software module for referencing at least one register variable from the plurality of register variables. The register transfer level program includes design level description of one or more operations associated with the firmware unit in a high-level programming language.

IPC Classes  ?

  • G06F 8/71 - Version control ; Configuration management
  • G06F 8/30 - Creation or generation of source code

12.

MEMORY BUILT-IN SELF-TEST WITH AUTOMATED REFERENCE TRIM FEEDBACK FOR MEMORY SENSING

      
Application Number 17756963
Status Pending
Filing Date 2020-05-28
First Publication Date 2024-01-11
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Yun, Jongsin
  • Nadeau-Dostie, Benoit
  • Keim, Martin

Abstract

This application discloses a memory built-in self-test system to prompt a memory device to sense values of stored data using a reference trim during memory read operations. The memory built-in self-test system can automatically set the reference trim for the memory device. The memory built-in self-test system includes a memory built-in self-test controller to prompt the memory device to perform the memory read operations with different test values for the reference trim. The memory built-in self-test system also includes a trim feedback circuit to determine when the memory device fails to correctly sense the values of the stored data using the test values for the reference trim, and set the reference trim for the memory device based, at least in part, on the failures of the memory device to correctly sense the stored data.

IPC Classes  ?

  • G11C 29/14 - Implementation of control logic, e.g. test mode decoders
  • G11C 29/46 - Test trigger logic
  • G11C 29/12 - Built-in arrangements for testing, e.g. built-in self testing [BIST]

13.

METHOD AND SYSTEM FOR PROVIDING A THREE-DIMENSIONAL COMPUTER AIDED-DESIGN (CAD) MODEL IN A CAD ENVIRONMENT

      
Application Number 18022138
Status Pending
Filing Date 2020-08-20
First Publication Date 2024-01-11
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Kanitkar, Chinmay
  • Patil, Nitin

Abstract

A method and system for providing a three-dimensional Computer-Aided Design (CAD) model of an object in a CAD environment are provided. A method includes receiving a request for a three-dimensional CAD model of a physical object, where the request includes a two-dimensional image of the object. An image vector is generated from the two-dimensional image using a first trained machine learning algorithm. The method includes generating a three-dimensional point cloud model of the object based on the generated image vector using a second trained machine learning algorithm, and generating a three-dimensional CAD model of the object using the three-dimensional point cloud model of the object. The method includes outputting the three-dimensional CAD model of the object on a graphical user interface.

IPC Classes  ?

  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

14.

METHOD AND SYSTEM FOR DYNAMICALLY RECOMMENDING COMMANDS FOR PERFORMING A PRODUCT DATA MANAGEMENT OPERATION

      
Application Number 18039519
Status Pending
Filing Date 2021-04-28
First Publication Date 2024-01-04
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Dave, Manal
  • Bhise, Rohit
  • Yerawar, Sankalp
  • Gandhe, Ajay

Abstract

A method and system for dynamically recommending commands for performing a PDM operation on product data objects in a product data management environment is disclosed. In one embodiment, a method includes determining a context in which a user is operating within a product data management environment. The method includes dynamically determining a set of commands suitable for performing a candidate PDM operation on the product data objects based on the determined context. Furthermore, the method includes computing a score for each of the commands suitable for performing the candidate PDM operation on the product data objects. Moreover, the method includes assigning a rank to each command suitable for performing the candidate PDM operation based on the score associated with each command, and outputting one or more commands from the set of commands on a graphical user interface based on the rank assigned to each command.

IPC Classes  ?

  • G06Q 10/0631 - Resource planning, allocation, distributing or scheduling for enterprises or organisations
  • G06Q 10/04 - Forecasting or optimisation specially adapted for administrative or management purposes, e.g. linear programming or "cutting stock problem"

15.

SYSTEMS AND METHODS FOR GENERATION OF EXPLODED VIEWS OF COMPUTER-AIDED DESIGN MODELS

      
Application Number 18253701
Status Pending
Filing Date 2020-12-18
First Publication Date 2024-01-04
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Menyhart, Istvan
  • Rezayat, Mohsen

Abstract

A computing system may include a model access engine configured to access a CAD model comprised of multiple CAD parts. The computing system may also include a model explosion engine configured to construct a blocking data structure for the CAD model that stores a blocking state for each pair of CAD parts of the CAD model (210) as well as an explosion graph for the CAD model. Iterative generation of the explosion graph by the model explosion engine may include querying the blocking data structure to determine unblocked CAD parts for which to insert a node into the explosion graph. The model explosion engine may also be configured to generate an exploded view representation of the CAD model using the constructed explosion graph.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

16.

BOUNDING BOX-BASED VISUALIZATION OF COMPUTER-AIDED DESIGN (CAD) MODELS VIA PIXEL COLOR ANALYSES

      
Application Number 17809685
Status Pending
Filing Date 2022-06-29
First Publication Date 2024-01-04
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Hofford, Robert
  • Dressler, Daniel

Abstract

A client computing system may include a model visualization engine configured to visualize a view of a computer-aided design (CAD) model in an application window, including by accessing bounding box data for non-visualized CAD parts of the CAD model, assigning a color value to each of the bounding boxes of the non-visualized CAD parts, capturing a 2D image of the view of the CAD model rendered using the bounding boxes for the non-visualized CAD parts, and analyzing the 2D image to identify pixel colors present in the 2D image to determine visible CAD parts in the view of the CAD model. The model visualization engine may further visualize the view of the CAD model by retrieving visualization data for the visible CAD parts and visualizing, in the application window, the visible CAD parts in the view of the CAD model via the retrieved visualization data.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD
  • G06T 11/00 - 2D [Two Dimensional] image generation
  • G06T 11/40 - Filling a planar surface by adding surface attributes, e.g. colour or texture

17.

OPTICAL PROXIMITY CORRECTION FOR FREE FORM SHAPES

      
Application Number 18029211
Status Pending
Filing Date 2020-10-08
First Publication Date 2023-12-21
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Lippincott, George P.
  • Liubich, Vladislav
  • Sakajiri, Kyohei

Abstract

Aspects of the disclosed technology relate to techniques for applying optical proximity correction to free form shapes. Each optical proximity correction iteration comprises: computing edge adjustment values for the straight ty correction iteration immediately preceding the each of the plurality of optical proximity correction iterations, adjusting locations of the straight line fragments based on the determined edge adjustment values, determining smooth boundary lines for the layout features based on the straight line fragments on the adjusted locations, performing a simulation process on the layout features having the smooth boundary lines to determine a simulated image of the layout features, and deriving the edge adjustment errors for the straight line fragments based on comparing the simulated image with a target image of the layout features.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

18.

METHOD AND SYSTEM FOR VALIDATING PRODUCT AND MANUFACTURING INFORMATION OF A GEOMETRIC MODEL

      
Application Number 18035175
Status Pending
Filing Date 2021-06-30
First Publication Date 2023-12-14
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Gaikwad, Janardan
  • Patange, Dinesh

Abstract

A method and a system for validating product and manufacturing information associated with a geometric model in a computer-aided design environment are provided. The method includes generating a geometric model of a physical object in the computer-aided design environment. The geometric model of the physical object includes product and manufacturing information. The method includes extracting the product and manufacturing information from the geometric model, and validating the extracted product and manufacturing information using at least one checker. The at least one checker includes one or more logical elements capable of validating the product and manufacturing information. The method includes outputting results of the validating of the product and manufacturing information on a graphical user interface.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation
  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

19.

METHOD AND SYSTEM FOR SCATTERING GEOMETRIC COMPONENTS IN A THREE-DIMENSIONAL SPACE

      
Application Number 17833424
Status Pending
Filing Date 2022-06-06
First Publication Date 2023-12-07
Owner Siemens Industry Software Inc. (USA)
Inventor Pagar, Shantanu

Abstract

A method and system for scattering geometric components in a three-dimensional space are disclosed. A method includes determining geometric components needed for assembling a CAD model of a product, and determining a scatter plane for scattering the geometric components in the three-dimensional space in the CAD environment. The method includes computing a two-dimensional projection of the geometric components. The method also includes determining a position of each of the geometric components based on the two-dimensional projection of the geometric components. The method includes placing each of the geometric components in the scatter plane based on the position of said each geometric component.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

20.

LAYOUT-BASED WAFER DEFECT IDENTIFICATION AND CLASSIFICATION

      
Application Number 17804618
Status Pending
Filing Date 2022-05-31
First Publication Date 2023-11-30
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Akkiraju, Nataraj
  • Xie, Qian

Abstract

This application discloses a scanning electron microscope system to capture an image of an electronic device manufactured according to a layout design describing the electronic device, and a computing system to generate a predicted image of the electronic device using the layout design. The predicted image corresponds to an expected image of the electronic design system captured by the scanning electron microscope system. The computing system identifies manufacturing defects present in the electronic device based on differences between the predicted image of the electronic device and the captured image of the electronic device, and utilizes the captured image of the electronic device to classify the manufacturing defects identified based on the predicted image of the electronic device from the layout design. The computing system can generate a manufacturing defect report identifying the manufacturing defects used to perform repair of the electronic device or modification of the layout design.

IPC Classes  ?

21.

WAFER IMAGE DENOISING AND CONTOUR EXTRACTION FOR MANUFACTURING PROCESS CALIBRATION

      
Application Number 17823741
Status Pending
Filing Date 2022-08-31
First Publication Date 2023-10-26
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Fenger, Germain Louis
  • Pereira, Mark
  • Samir, Bhamidipati Venkata Rama
  • Halder, Sandip
  • Dey, Bappaditya
  • Wu, Hsin-Wei
  • Ahi, Kiarash

Abstract

This application discloses a computing system to obtain a wafer image of an electronic device having physical structures manufactured using one or more lithographic masks associated with a layout design describing the electronic design. The computing system can implement an unsupervised deep learning algorithm to process the wafer image to remove at least some noise from the wafer image, which generates a denoised wafer image. The computing system can extract contours corresponding to the physical structures of the electronic device from the denoised wafer image of the electronic device without use of the layout design or a mask design. The computing system can calibrate the layout design or the mask design describing the one or more lithographic masks based, at least in part, on the contours extracted from the denoised wafer image.

IPC Classes  ?

  • G06T 7/64 - Analysis of geometric attributes of convexity or concavity
  • G06T 7/00 - Image analysis
  • G06T 5/00 - Image enhancement or restoration
  • G06T 7/62 - Analysis of geometric attributes of area, perimeter, diameter or volume

22.

METHOD OF BOUNDING SPATIAL DATA

      
Application Number 18022251
Status Pending
Filing Date 2020-08-31
First Publication Date 2023-10-19
Owner Siemens Industry Software Inc. (USA)
Inventor Fitt, Andrew

Abstract

A computer implemented method of bounding spatial data associated with the geometric bounds of an item mapped into one or more 3-D axis-aligned bounding boxes is disclosed. The geometric bounds bound each permutation of all possible positions of the item geometrically. The method includes: partitioning a set of bounding boxes using a first group of intervals along the x axis direction and allocating a partition identification xpar; partitioning the set of bounding boxes using a second group of intervals along the y axis direction and allocating a partition identification ypar; partitioning the set of bounding boxes using a third group of intervals along the z axis direction and allocating a partition identification zpar; and partitioning the set of bounding boxes by partition identification tuples (xpar, ypar, zpar). The method further includes merging bounding boxes with the same partition identification tuple.

IPC Classes  ?

  • G06T 17/10 - Volume description, e.g. cylinders, cubes or using CSG [Constructive Solid Geometry]
  • G06F 30/10 - Geometric CAD

23.

MACHINE LEARNING-BASED DESIGN OF BEAM-BASED PHYSICAL STRUCTURES

      
Application Number 18042338
Status Pending
Filing Date 2020-08-31
First Publication Date 2023-10-12
Owner Siemens Industry Software Inc. (USA)
Inventor Rodgers, Ricky

Abstract

A computing system may include a design space access engine configured to access a design space of a physical structure. The computing system may also include a structural design engine configured to encode the design space into a set of 3-dimensional (3D) rectangles. Each 3D rectangle may define candidate beam locations in the physical structure and candidate beam locations of the 3D rectangles may be defined by lines between vertex pairs of each 3D rectangle. The structural design engine may also provide the encoded design space as an input to a machine-learning (ML) model, generate, through the ML model, a design of the physical structure based on the encoded design space, and provide the design of the physical structure in support of manufacture of the physical structure.

IPC Classes  ?

  • G06F 30/13 - Architectural design, e.g. computer-aided architectural design [CAAD] related to design of buildings, bridges, landscapes, production plants or roads
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

24.

METHOD AND SYSTEM FOR GENERATING A THREE-DIMENSIONAL MODEL OF A MULTI-THICKNESS OBJECT A COMPUTER-AIDED DESIGN ENVIRONMENT

      
Application Number 18021642
Status Pending
Filing Date 2020-08-31
First Publication Date 2023-10-05
Owner Siemens Industry Software Inc. (USA)
Inventor Sontakke, Vishal

Abstract

A method and system for generating a three-dimensional model of a multi-thickness object in a formed state in a computer-aided design (CAD) environment is disclosed. In one embodiment, a method includes receiving a request to generate a feature of a three-dimensional model. The method includes creating a virtual datum plane, and dynamically computing an offset value for the feature with reference to the virtual datum plane based on a thickness value. The offset value determines an offset between the virtual datum plane and one of the surfaces of the feature. The method includes generating the feature of the three-dimensional model in the formed state with reference to the virtual datum plane based on the thickness value, a location of the feature and the offset value. Moreover, the method includes outputting the three-dimensional model of the multi-thickness object having the generated feature in the formed state.

IPC Classes  ?

  • G06F 30/39 - Circuit design at the physical level
  • G06F 30/31 - Design entry, e.g. editors specifically adapted for circuit design

25.

DESIGN AWARE ADAPTIVE MIXED-SIGNAL SIMULATION

      
Application Number 18041899
Status Pending
Filing Date 2020-08-25
First Publication Date 2023-10-05
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Kolpekwar, Abhijeet
  • Banerjee, Kingshuk

Abstract

A computing system implementing a design verification system can classify a mixed-signal circuit design describing an electronic device based on a design topology of the mixed-signal circuit design. This classification can be performed by identifying a top-level design block in the mixed-signal circuit design, traversing a connectivity of a design hierarchy to identify lower-level design blocks in the mixed-signal circuit design, and classifying the mixed-signal circuit design based on at least one of a design type of the top-level design block, design types of the lower-level design blocks, or a connectivity of design blocks in the mixed-signal circuit design. The design verification system can selectively partition the mixed-signal circuit design into an analog partition and a digital partition based on the classification, and simulate the analog partition of the mixed-signal circuit design with an analog simulator and the digital partition of the mixed-signal circuit design with a digital simulator.

IPC Classes  ?

  • G06F 30/38 - Circuit design at the mixed level of analogue and digital signals
  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation
  • G06F 30/367 - Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

26.

DYNAMIC CDC VERIFICATION METHOD

      
Application Number 18023819
Status Pending
Filing Date 2020-08-31
First Publication Date 2023-09-28
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Bisht, Sukriti
  • Hari, Ashish
  • Khare, Sulabh Kumar
  • Takara, Kurt

Abstract

A computer implemented method of dynamically verifying clock domain crossing (CDC) paths in a register-transfer level (RTL) design is provided. In addition to static analysis, formal analysis, and simulation steps, each CDC path is allocated a persistent unique identifier. This enables the updating of a centralized results database using the persistent unique identifier to label the associated CDC protocol assertions, functional coverage, and results of the formal analysis and simulation. In addition, prior to simulation analysis, CDC protocol assertions that have been proven during formal analysis are turned off, resulting in the simulation run only being carried out for non-proven CDC protocol assertions.

IPC Classes  ?

27.

Hybrid Switching Architecture For SerDes Communication Channels In Reconfigurable Hardware Modeling Circuits

      
Application Number 18041136
Status Pending
Filing Date 2020-08-20
First Publication Date 2023-09-28
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Brault, Jean-Marc
  • Selvidge, Charles W.
  • Clavequin, Jean-Paul
  • Vuillemin, Laurent

Abstract

Various aspects of the present disclosed technology relate to hybrid static and dynamic switching in a reconfigurable hardware modeling circuit for flexible and low latency communications. The reconfigurable hardware modeling circuit comprises serializer circuitry and deserializer circuitry for one or more communication ports, wherein the serializer circuitry has first sub-channels for receiving data to be sent out from the reconfigurable hardware modeling circuit, and the deserializer circuitry has second sub-channels for outputting data received by the reconfigurable hardware modeling circuit. The reconfigurable hardware modeling circuit also comprises static switching circuitry configurable to couple each of first zero or one or more sub-channels in the first sub-channels with one of signal sources comprising the second sub-channels and dynamic switching circuitry configurable to couple, in a time-division multiplexing mode, each of second zero or one or more sub-channels in the first sub-channels with more than one of the signal sources.

IPC Classes  ?

  • G06F 30/327 - Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
  • G06F 30/3312 - Timing analysis
  • G06F 30/331 - Design verification, e.g. functional simulation or model checking using simulation with hardware acceleration, e.g. by using field programmable gate array [FPGA] or emulation

28.

MANAGING A POSTPROCESSOR FOR MACHINING WITH A MACHINE TOOL METHOD, COMPUTER SYSTEM, AND MACHINE TOOL

      
Application Number 18124561
Status Pending
Filing Date 2023-03-21
First Publication Date 2023-09-28
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Jenensch, Thomas
  • Oudot, Sophie

Abstract

For an improved management of a postprocessor, for machining with a machine tool, a computer-implemented method includes providing toolpath data for machining a workpiece with a tool along a corresponding toolpath. The tool is comprised by a machine tool that is numerically controlled by a control device. Sample machine code is provided. Atrial postprocessor software component for determining machine code using toolpath data is provided. Trial machine code is determined using the trial postprocessor software component and the toolpath data. A sample code architecture of the sample machine code and a trial code architecture of the trial machine code are determined. Characteristics of the sample machine code are determined by comparing the sample code architecture with the trial code architecture, and a new postprocessor software component is determined by incorporating the characteristics into the trial postprocessor software component.

IPC Classes  ?

  • G05B 19/29 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path using an absolute digital measuring device for point-to-point control
  • G05B 19/4093 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by part programming, e.g. entry of geometrical information as taken from a technical drawing, combining this with machining and material information to obtain control information, named part programme, for the NC machine

29.

SUBDIVISION-BASED SURFACE EDITOR

      
Application Number 18040204
Status Pending
Filing Date 2020-08-20
First Publication Date 2023-09-28
Owner Siemens Industry Software Inc (USA)
Inventor
  • Mysore, Vadiraj
  • Walker, Jeffrey A.

Abstract

Surface editing is performed in typical computer-aided design (CAD) software products by using special tools to edit special surfaces, such as b-splines or subdivision surfaces. It is recognized herein that current approaches to editing surfaces are not generally applicable. For example, common CAD and surface modeling software products are tailored to a specific surface type or vendor specific format, or otherwise are not generally applicable to given analytical and non-analytical surfaces. In various embodiments described herein, subdivision surfaces can be generated to represent any surface. Further, surfaces can be manipulated using a control cage associated with the subdivision surface.

IPC Classes  ?

  • G05B 19/4099 - Surface or curve machining, making 3D objects, e.g. desktop manufacturing
  • G06T 19/20 - Editing of 3D images, e.g. changing shapes or colours, aligning objects or positioning parts
  • B33Y 50/02 - Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes

30.

High Bandwidth IJTAG Through High Speed Parallel Bus

      
Application Number 18040909
Status Pending
Filing Date 2021-06-24
First Publication Date 2023-09-21
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Cote, Jean-Francois
  • Burchard, Jan
  • Gaudet, Jonathan

Abstract

High Bandwidth IJTAG Through High Speed Parallel Bus A system in a circuit comprises: a first network (710) configurable to transmit data in parallel in the circuit, the first network (710) comprising circuit block interface devices, each of the circuit block interface devices being coupled to ports of one of circuit blocks in the circuit; a plurality of second networks (720, 725, 727), each of the plurality of second networks (720, 725, 727) configurable to transmit data in serial in one of the circuit blocks in the circuit; a third network (730) configurable to transmit data in serial in the circuit when being coupled to the plurality of second networks (720, 725, 727); and a plurality of network switching interface devices (740, 745, 747), each of the plurality of network switching interface devices (740, 745, 747) configurable to couple either the first network (710) or the third network (730) to one of the plurality of second networks (720, 725, 727) based on a control signal stored in the each of the plurality of interface devices (740, 745, 747).

IPC Classes  ?

31.

Machine learning-based clustering for curvilinear layout designs

      
Application Number 17654319
Grant Number 11836423
Status In Force
Filing Date 2022-03-10
First Publication Date 2023-09-14
Grant Date 2023-12-05
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Yin, Lianghong
  • Jiang, Fan
  • Shang, Shumay D.
  • Hong, Le

Abstract

Various aspects of the present disclosed technology relate to techniques for classifying layout patterns. First, a set of density feature vectors for a set of layout regions in the layout design are extracted using a set of rings. Each component of a density feature vector in the set of density feature vectors corresponds to a ring in the set of rings. The set of rings do not overlap with each other and cover a whole area of a circle when being placed together. Next, a machine learning-based clustering process is performed to separate layout features in the set of layout regions into clusters of layout features based on the set of density feature vectors. Each of the clusters of layout features may be further divided into subclusters based on one or more properties.

IPC Classes  ?

  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

32.

HEAT-AWARE TOOLPATH GENERATION FOR 3D PRINTING OF PHYSICAL PARTS

      
Application Number 18001379
Status Pending
Filing Date 2020-06-19
First Publication Date 2023-09-07
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Maynard, James
  • Fithian, Timothy R.
  • Jaje, Jeffrey A.

Abstract

A computing system may include an access engine and a heat-aware toolpath engine. The access engine may be configured to access a slice of a 3-dimensional (3D) computer-aided design (CAD) object, wherein the 3D CAD object represents a physical part and wherein the slice represents a physical layer for 3D printing of the physical part. The heat-aware toolpath engine may be configured to generate a layer toolpath to control the 3D printing of the physical layer, including by partitioning the slice into zones and determining a zone order, based on a heat-aware criterion, for the layer toolpath to traverse for the 3D printing of the physical layer. The heat-aware toolpath engine may also be configured to provide the layer toolpath to support the 3D printing of the physical part.

IPC Classes  ?

  • G05B 19/4099 - Surface or curve machining, making 3D objects, e.g. desktop manufacturing
  • B33Y 50/00 - Data acquisition or data processing for additive manufacturing

33.

Method and system for protocol processing

      
Application Number 18021959
Grant Number 11809848
Status In Force
Filing Date 2020-08-28
First Publication Date 2023-08-31
Grant Date 2023-11-07
Owner SIEMENS INDUSTRY SOFTWARE INC. (USA)
Inventor Vierimaa, Kari

Abstract

A method of protocol processing including a main program code that has one or more code segments and instructions for processing different protocol elements of a data packet stream of a transport protocol is disclosed herein. The method includes assigning a latency requirement and/or bandwidth requirement to one or more of the code segments of the main program code; and compiling each of the code segments according to the assigned latency and/or bandwidth requirement into a respective target code for executing each of the target codes by different processors.

IPC Classes  ?

34.

MACHINE LEARNING-BASED GENERATION OF CONSTRAINTS FOR COMPUTER-AIDED DESIGN (CAD) ASSEMBLIES

      
Application Number 18041176
Status Pending
Filing Date 2021-07-22
First Publication Date 2023-08-24
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Reinhart, Wesley
  • Williams, Reed
  • Hosch, Kenneth A.
  • Sodhi, Rajneet

Abstract

A computing system may include a constraint learning engine and a constraint generation engine. The constraint learning engine may be configured to access a computer-aided design (CAD) assembly comprising multiple CAD parts and generate a representation graph of the CAD assembly, determine constraints in the CAD assembly, wherein the constraints limit a degree of movement between geometric faces of different CAD parts in the CAD assembly, insert constraint edges into the representation graph that represent the determined constraints; and provide the representation graph as training data to train a machine-learning model. The constraint generation engine may be configured to generate constraints for a different CAD assembly by applying the machine-learning model for the different CAD assembly.

IPC Classes  ?

  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
  • G06F 30/10 - Geometric CAD

35.

SYSTEM AND METHOD FOR SIMULATION AND TESTING OF MULTIPLE VIRTUAL ECUS

      
Application Number 18041009
Status Pending
Filing Date 2020-08-25
First Publication Date 2023-08-17
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Khalil, Keroles
  • Elmorsy, Magdy Aly Aly

Abstract

Systems and methods for simulation and testing of multiple virtual electronic control units (VECUs). A method (1000) includes executing, by one or more computer systems (101), a first VECU (502). The method includes executing a virtual bus (510), the virtual bus (510) associated with the first VECU (502). The method includes executing at least one second VECU. The method includes simulating a multiple-VECU system by managing communications, using the virtual bus (510), between the first VECU (502) and the at least one second VECU.

IPC Classes  ?

  • H04L 43/20 - Arrangements for monitoring or testing data switching networks the monitoring system or the monitored elements being virtualised, abstracted or software-defined entities, e.g. SDN or NFV
  • H04L 12/40 - Bus networks
  • H04L 69/22 - Parsing or analysis of headers
  • G06F 11/26 - Functional testing

36.

METHOD AND SYSTEM FOR GENERATING A GEOMETRIC COMPONENT USING MACHINE LEARNING MODELS

      
Application Number 18012651
Status Pending
Filing Date 2020-08-13
First Publication Date 2023-08-10
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Goswami, Debarshi
  • Singh, Yeshvendra
  • Kudchi, Umarsharif

Abstract

A method and system for generating a geometric component in a computer-aided design (CAD) environment using machine learning models is provided. A computer-implemented method for generating a geometric component in a CAD environment includes determining a geometric operation to be performed on at least one geometric component in the CAD environment based on a CAD command selected by a user. The method also includes determining one or more candidate groups including one or more candidates in the geometric component suitable for performing the geometric operation using one or more trained machine learning models. The method also includes identifying at least one candidate group from the one or more candidate groups on which the geometric operation is to be performed. The method also includes performing the geometric operation on the one or more candidates in the identified candidate group.

IPC Classes  ?

  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
  • G06F 30/10 - Geometric CAD

37.

HEAT-AWARE TOOLPATH REORDERING FOR 3D PRINTING OF PHYSICAL PARTS

      
Application Number 18001358
Status Pending
Filing Date 2020-06-19
First Publication Date 2023-07-27
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Eissing, Katharina
  • Fergani, Omar
  • Heinrichsdorff, Frank
  • Kastsian, Darya
  • Reznik, Daniel

Abstract

A computing system may include an access engine and a toolpath reordering engine. The access engine may be configured to access an original layer toolpath for slice of a 3D CAD object as well as a heat criticality measure for the original layer toolpath. The heat criticality measure may specify a heat impact for different points on the multiple toolpath segments of the original layer toolpath for the 3D printing of the physical part using the original layer toolpath. The toolpath reordering engine may be configured to reorder the multiple toolpath segments into a modified layer toolpath, and the modified layer toolpath may have a heat criticality measure with a lesser heat impact on the physical part than the heat criticality measure for the original layer toolpath.

IPC Classes  ?

  • B22F 10/85 - Data acquisition or data processing for controlling or regulating additive manufacturing processes
  • B22F 12/47 - Radiation means with translatory movement parallel to the deposition plane
  • B22F 12/44 - Radiation means characterised by the configuration of the radiation means
  • B22F 10/366 - Scanning parameters, e.g. hatch distance or scanning strategy

38.

MACHINE LEARNING-BASED HOTSPOT PREDICTION IN ELECTRONIC DESIGN AUTOMATION (EDA) APPLICATIONS

      
Application Number 18002159
Status Pending
Filing Date 2020-07-08
First Publication Date 2023-07-20
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Ma, Yuansheng
  • Hong, Le

Abstract

A computing system may include a hotspot processing engine and a hotspot prediction engine. The hotspot processing engine may be configured to access an input data set of hotspot locations on manufactured circuits of a circuit design, correlate the hotspot locations to layout data for the circuit design, and extract fragment feature vectors for the hotspot locations. The hotspot processing engine may further be configured to process the fragment feature vectors such that hotspot fragment feature vectors are a threshold percentage of the total number of feature vectors in the fragment feature vectors and provide the processed fragment feature vectors as a training set for training a machine-learning model. The hotspot prediction engine may be configured to apply the machine-learning model to characterize locations of the circuit design as a hotspot location or a non-hotspot location.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

39.

Testing of radio equipment

      
Application Number 18021966
Grant Number 11777617
Status In Force
Filing Date 2020-08-31
First Publication Date 2023-07-20
Grant Date 2023-10-03
Owner Siemens Industry Software Inc. (USA)
Inventor Vierimaa, Kari

Abstract

A method is provided for generating test data for testing radio equipment. The method includes: determining, by a test apparatus, one or more beam identifiers; selecting, by the test apparatus, based on the one or more beam identifiers, one or more radio channel models; receiving, by the test apparatus, a baseband signal representing I/Q data of one or more beamforming antennas; processing, by the test apparatus, the baseband signal representing I/Q data according to the selected radio channel model; and transmitting, by the test apparatus, the processed baseband signal representing I/Q data to a radio equipment under test.

IPC Classes  ?

  • H04B 17/00 - Monitoring; Testing
  • H04B 7/08 - Diversity systems; Multi-antenna systems, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station
  • H04B 7/0452 - Multi-user MIMO systems
  • H04B 7/06 - Diversity systems; Multi-antenna systems, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station

40.

DELTA CLONE-BASED DATABASE UPGRADES

      
Application Number 18041443
Status Pending
Filing Date 2020-08-17
First Publication Date 2023-07-20
Owner Siemens Industry Software, Inc. (USA)
Inventor
  • Kaiser, Reiner K.
  • Ouali, Ahmed

Abstract

A computing system may include an upgrade access engine configured to access a database upgrade to perform for a production database. The computing system may also include a database upgrade engine configured to generate multiple clones of the production database, including a production clone and a delta clone with instance data removed. The database upgrade engine may perform the database upgrade on the production clone, track changes to the production database, and push the tracked changes to the delta clone. After the database upgrade on the production clone completes, the database upgrade engine may perform the database upgrade on the delta clone, push upgraded data of the delta clone to the upgraded production clone, and set the upgraded production clone as an upgraded version of the production database.

IPC Classes  ?

  • G06F 16/21 - Design, administration or maintenance of databases
  • G06F 16/27 - Replication, distribution or synchronisation of data between databases or within a distributed database system; Distributed database system architectures therefor
  • G06F 16/23 - Updating

41.

HARDWARE-BASED SENSOR ANALYSIS

      
Application Number 17928008
Status Pending
Filing Date 2021-05-27
First Publication Date 2023-07-20
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Hlond, Marcin
  • Panesar, Gajinder

Abstract

A method of monitoring messages from a sensor using an integrated circuit is provided. The messages include data measured by that sensor. The method includes reading a first message from interconnect circuitry of the integrated circuit. The interconnect circuitry connects the sensor to one or more core devices configured to process the messages. A first hash value is calculated for the first message. The first hash value is compared to one or more prior hash values stored in a hash store. Each prior hash value of the one or more prior hash values corresponds to a message that was read from the interconnect circuitry prior to the first message. A corrective action is performed when a difference between the first hash value and at least one of the prior hash values stored in the hash store is below a predetermined threshold.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance
  • G06F 16/22 - Indexing; Data structures therefor; Storage structures

42.

HYPERSPACE-BASED PROCESSING OF DATASETS FOR ELECTRONIC DESIGN AUTOMATION (EDA) APPLICATIONS

      
Application Number 18002127
Status Pending
Filing Date 2020-07-08
First Publication Date 2023-07-06
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Ma, Yuansheng
  • Hong, Le

Abstract

A computing system may include a hyperspace generation engine and a hyperspace processing engine. The hyperspace generation engine may be configured to access a feature vector set, and feature vectors in the feature vector set may represent values for multiple parameters of data points in a dataset. The hyperspace generation engine may further be configured to perform a principal component analysis on the feature vector set and quantize the principal component space into a hyperspace comprised of hyperboxes. The hyperspace processing engine may be configured to process the dataset according to a mapping of the feature vector set into the hyperboxes of the hyperspace.

IPC Classes  ?

  • G06F 30/31 - Design entry, e.g. editors specifically adapted for circuit design

43.

METHOD AND SYSTEM FOR TRIMMING INTERSECTING BODIES IN A COMPUTER-AIDED DESIGN ENVIRONMENT

      
Application Number 17928420
Status Pending
Filing Date 2020-07-23
First Publication Date 2023-06-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Sanglikar, Kirti
  • Sarode, Dhananjay
  • Bhogawar, Varshneya
  • Gurushankar, Aditya
  • Martins, Marcelo A.

Abstract

A method and system for trimming intersecting bodies of a geometric model in a computer-aided design environment is disclosed. In one embodiment, a method includes determining a plurality of bodies of the geometric model intersecting with each other. The method includes computing volume of one or more intersecting bodies in the geometric model to be trimmed from the geometric model. Also, the method includes determining a trim offset value for at least one intersecting body in the geometric model and recomputing the volume of the at least one intersecting body in the geometric model which is to be trimmed from the geometric model based on the trim offset value. Moreover, the method includes generating a modified geometric model by performing a trim operation on the volume of the one or more intersecting bodies of the geometric model.

IPC Classes  ?

  • G06F 30/17 - Mechanical parametric or variational design
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

44.

METHOD OF INDEXING A HIERARCHICAL DATA STRUCTURE

      
Application Number 18008406
Status Pending
Filing Date 2020-06-18
First Publication Date 2023-06-29
Owner Siemens Industry Software Inc. (USA)
Inventor Fitt, Andrew

Abstract

A computer-implemented method of indexing a hierarchical data structure or product structure is disclosed. For a product structure including a product and a plurality of items associated with the product, each item shares a parent-child relationship with at least one other item or the product. The method includes generating a packed configuration-independent index of the product structure by enumerating an unconfigured item-path from the product to an item for each item. Then, when one or more unconfigured item-paths are identical, only one of the identical unconfigured item-paths is maintained. The index may also be combined with an unconfigured item-path spatial-bounds index. Both product structure and spatial location queries may be filtered against the combined index.

IPC Classes  ?

  • G06F 16/22 - Indexing; Data structures therefor; Storage structures

45.

Reference bits test and repair using memory built-in self-test

      
Application Number 17906303
Grant Number 11929136
Status In Force
Filing Date 2021-03-18
First Publication Date 2023-06-08
Grant Date 2024-03-12
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Yun, Jongsin
  • Nadeau-Dostie, Benoit
  • Kodali, Harshitha

Abstract

A memory-testing circuit configured to perform a test of reference bits in a memory. In a read operation, outputs of data bit columns are compared with one or more reference bit columns. The memory-testing circuit comprises: a test controller and association adjustment circuitry configurable by the test controller to associate another one or more reference bit columns or one or more data bit columns with the data bit columns in the read operation. The test controller can determine whether the original one or more reference bit columns have a defect based on results from the two different association.

IPC Classes  ?

  • G11C 29/54 - Arrangements for designing test circuits, e.g. design for test [DFT] tools
  • G11C 29/56 - External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor

46.

CORRECT-BY-CONSTRUCTION FILLER CELL INSERTION

      
Application Number 17997271
Status Pending
Filing Date 2020-04-30
First Publication Date 2023-06-01
Owner Siemens Industry Software Inc. (USA)
Inventor Fouad, Fady

Abstract

This application discloses a computing system implementing a yield enhancer tool to extract characteristics of cells from a physical layout design for an integrated circuit, determine locations of vacant regions in the physical layout design, apply electrical design rules for manufacture of the integrated circuit to the extracted characteristics in order to identify cells in the physical layout design that would violate the electrical design rules. The computing system can select filler cells for the vacant regions based, at least in part, on extracted characteristics of the cells abutting the vacant regions and the electrical design rules, and insert the selected filler cells in the vacant regions of the physical design layout. The computing system can perform a design rule check operation, which applies the electrical design rules to the physical design layout having been inserted with the selected filler cells.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

47.

HEATSINK CONFIGURATION GENERATION

      
Application Number 17922016
Status Pending
Filing Date 2020-04-28
First Publication Date 2023-06-01
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Bornoff, Robin
  • Parry, John
  • Warner, Matthew

Abstract

A method, executed by at least one processor of a computer, of generating a heatsink configuration meeting a predetermined performance constraint is disclosed. The method includes establishing an initial heatsink configuration having a heatsink base including at least one layer formed of a plurality of tessellated rods and setting a thermal evaluation parameter. An initial thermal simulation of a heat source positioned proximate the heatsink base is performed to determine the initial thermal performance of the heatsink. Based on the initial thermal simulation, three revised heatsink configurations are examined, and simulations are carried out to generate first, second, and third revised thermal performances. These are compared with an initial thermal performance, and the heatsink configuration showing the greatest improvement in thermal performance compared with the initial thermal performance is selected. This process is repeated until a heatsink configuration meeting the predetermined performance constraint is generated.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation

48.

PROBABILISTIC DESIGN FOR METAMATERIALS REPRESENTED AS PROGRAM CODE

      
Application Number 17904239
Status Pending
Filing Date 2020-02-25
First Publication Date 2023-05-11
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Williams, Reed
  • Kolb, Scott
  • Arvanitis, Elena
  • Thakkar, Pratik
  • Pathak, Sudipta
  • Reinhart, Wesley

Abstract

A computing system may include a metamaterial representation engine configured to represent a metamaterial of a three-dimensional (3D) object as program code. The metamaterial may define an internal geometry of the 3D object and may be configured to be physically constructed via additive manufacturing. Representation of the metamaterial as program code may include assigning a value of a code parameter of the metamaterial as a probability distribution. The computing system may also include a metamaterial analysis engine configured to analyze the metamaterial through the probability distribution assigned for the value of the code parameter of the program code.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

49.

Asynchronous interface for transporting test-related data via serial channels

      
Application Number 17498085
Grant Number 11789487
Status In Force
Filing Date 2021-10-11
First Publication Date 2023-04-13
Grant Date 2023-10-17
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Nadeau-Dostie, Benoit
  • Cote, Jean-Francois

Abstract

A circuit comprises: a first clock gating device clocked by a first clock signal and configured to generate first clock pulses when a shift enable signal is active, a first transition detecting device clocked by a second clock signal and configured to generate shift gating pulses when detecting active transitions of the first clock pulses, a second clock gating device clocked by the second clock signal and configured to generate shift clock pulses based on the shift gating pulses to clock second scan elements for a shift operation with first scan elements clocked by the first clock signal, and a first retiming device triggered by active pulse edges of the first clock signal and configurable to hold a value for the shift operation. The circuit may further comprise a delay generating device configured to generate delayed shift gating pulses for generating the shift clock pulses.

IPC Classes  ?

  • G06F 1/12 - Synchronisation of different clock signals
  • G06F 1/06 - Clock generators producing several clock signals

50.

Training of differentiable renderer and neural network for query of 3D model database

      
Application Number 17905260
Grant Number 11809484
Status In Force
Filing Date 2020-08-28
First Publication Date 2023-04-13
Grant Date 2023-11-07
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Planche, Benjamin
  • Singh, Rajat Vikram

Abstract

System and method for differentiable networks trainable to learn an optimized query of a 3D model database used for object recognition includes training a first differentiable network configured as a differentiable renderer by generating 2D images from 3D models of a first object of a dissimilar second object while optimizing rendering parameters for producing 2D images by gradient descent of a first triple loss function. Visual variation among the images is maximized. A second differentiable network configured as a convolutional neural network defined by a regression function is trained by generating searchable feature vectors of the 2D images. The feature vectors are determined using optimized neural network parameters determined by gradient descent of a second triple loss function to achieve high correlation to an input image of the first object and low correlation to images of the second object.

IPC Classes  ?

  • G06F 16/56 - Information retrieval; Database structures therefor; File system structures therefor of still image data having vectorial format
  • G06F 16/55 - Clustering; Classification
  • G06N 20/00 - Machine learning

51.

OPTICAL PROXIMITY CORRECTION BASED ON COMBINING INVERSE LITHOGRAPHY TECHNOLOGY WITH PATTERN CLASSIFICATION

      
Application Number 17823679
Status Pending
Filing Date 2022-08-31
First Publication Date 2023-03-30
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Ma, Yuansheng
  • Hong, Le
  • Wu, Rui
  • Lei, Junjiang

Abstract

Various aspects of the present disclosed technology relate to techniques for inverse-lithography-technology-based optical proximity correction. A layout design is received. A machine learning-based clustering process is then performed to separate layout features in the layout design into groups of layout features. For layout features in each of the groups of layout features, preliminary corrections are determined. The determination may be based on inverse lithography technology. The preliminary corrections are applied to the layout design to generate a pre-processed layout design. An inverse lithography technology process is performed on the pre-processed layout design to generate a processed layout design. Masks can be manufactured based on the processed layout design.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

52.

VARIANT MODEL-BASED COMPILATION FOR ANALOG SIMULATION

      
Application Number 17412404
Status Pending
Filing Date 2021-08-26
First Publication Date 2023-03-02
Owner Siemens Industry Software Inc. (USA)
Inventor Foelsche, Peter

Abstract

A computing system implementing a design verification system can detect multiple analog design blocks in a circuit design describing an electronic device. The design verification system can generate equivalent networks for the analog design blocks using different sets of the parameters of the analog design blocks by selectively collapsing nodes and braches in the analog design blocks based on values of the different sets of the parameters. The equivalent networks can correspond to behavioral topologies of the analog design blocks having the different sets of the parameters. The design verification system can selectively compile a subset of the analog design blocks into multiple compiled variant models based on a comparison of the equivalent networks. The design verification system can include an analog simulator to simulate the analog design blocks in the circuit design using the compiled variant models.

IPC Classes  ?

  • G06F 30/367 - Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
  • G06F 30/323 - Translation or migration, e.g. logic to logic, hardware description language [HDL] translation or netlist translation

53.

CONTROLLABLE PATTERN CLUSTERING FOR CHARACTERIZED SEMICONDUCTOR LAYOUT DESIGNS

      
Application Number 17461636
Status Pending
Filing Date 2021-08-30
First Publication Date 2023-03-02
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Hegazy, Hazem
  • Hamed, Ahmed Hamed Fathi
  • Elsewefy, Omar
  • Khalaf, Sara

Abstract

A computing system implementing a physical verification tool can determine principal feature components describing geometric patterns around points of interest in a semiconductor layout design. The principal feature components include topological features indicating whether structures are present around the points of interest, and include dimensional features corresponding to measurements associated with the structures present around the points of interest. The physical verification tool can generate a topological signature for each of the points of interest based on the topological features, and cluster the points of interest into different subsets based on the topological signature. The physical verification tool can perform design rule check operations on the semiconductor layout design to identify whether one or more of the points of interest correspond to a design rule violation and perform pattern matching to identify whether other points of interest match the point of interest corresponding to the design rule violation.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

54.

TRAINING OF MACHINE LEARNING-BASED INVERSE LITHOGRAPHY TECHNOLOGY FOR MASK SYNTHESIS WITH SYNTHETIC PATTERN GENERATION

      
Application Number 17461652
Status Pending
Filing Date 2021-08-30
First Publication Date 2023-03-02
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Akkiraju, Nataraj
  • Torunoglu, Ilhami

Abstract

This application discloses a computing system implementing a mask synthesis system to generate synthetic image clips of design shapes and corresponding mask data for the synthetic image clips. The mask data can describe lithographic masks capable of being used to fabricate the design shapes on an integrated circuit. The mask synthesis system can utilize the synthetic image clips of the design shapes and the corresponding mask data to train a machine-learning system to determine pixelated output masks from portions of the layout design. The mask synthesis system can identify one or more pixelated output masks for portions of a layout design describing an electronic system using the trained machine-learning. The mask synthesis system can synthesize a mask layout design for the electronic system based, at least in part, on the layout design describing the electronic system and the one or more pixelated output masks for the layout design.

IPC Classes  ?

  • G05B 19/4093 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by part programming, e.g. entry of geometrical information as taken from a technical drawing, combining this with machining and material information to obtain control information, named part programme, for the NC machine
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06N 20/00 - Machine learning

55.

State dependent and path dependent power estimation

      
Application Number 17461665
Grant Number 11763051
Status In Force
Filing Date 2021-08-30
First Publication Date 2023-03-02
Grant Date 2023-09-19
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Shastry, Gopi
  • Yadav, Amit Singh
  • Joshi, Neeraj

Abstract

This application discloses a computing system implementing a power estimator can read in waveform data generated during functional verification of a circuit design describing an electronic device, detect toggles in the signals of the waveform data, correlate the detected toggles in the signals to arcs associated with logic gates in the circuit design, and track a number of times each of the arcs has been correlated to the detected toggles. After the waveform data has been read, the power estimator can look-up power values for each arc having been correlated to a detected signal toggle, multiple the power values by the tracked number of times each of the arcs been correlated to the detected toggles to compute power estimates, and generate an estimate of power consumption for the circuit design during the functional verification by accumulating the power estimates for the arcs associated with the logic gates.

IPC Classes  ?

  • G06F 30/33 - Design verification, e.g. functional simulation or model checking
  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation
  • G06F 30/327 - Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/367 - Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
  • G01R 21/00 - Arrangements for measuring electric power or power factor

56.

MACHINE LEARNING-BASED UNRAVEL ENGINE FOR INTEGRATED CIRCUIT PACKAGING DESIGN

      
Application Number 17462342
Status Pending
Filing Date 2021-08-31
First Publication Date 2023-03-02
Owner Siemens Industry Software Inc. (USA)
Inventor Don, Dominic

Abstract

This application discloses a computing system to identify net lines corresponding to connections between pins of a source layout design describing a first electronic device and pins of a target layout design describing a second electronic device, scan the net lines in an order selected based, at least in part, on an orientation of the net lines between pins of the source layout design and the pins of the target layout design, identify a plurality of the scanned net lines cross each other, and unravel the crossed net lines by swapping pin assignments of the crossed net lines. The computing system can implement a machine learning algorithm having a first stage to determine a scan order for the net lines and having a second stage to identify the net lines that cross each other and unravel the crossed net lines.

IPC Classes  ?

57.

Virtual cross metrology-based modeling of semiconductor fabrication processes

      
Application Number 17462675
Grant Number 11687066
Status In Force
Filing Date 2021-08-31
First Publication Date 2023-03-02
Grant Date 2023-06-27
Owner Siemens Industry Software Inc. (USA)
Inventor Torres Robles, Juan Andres

Abstract

A computing system may include a virtual cross metrology engine configured to construct a given virtual metrology model. The given virtual metrology model may take, as inputs, process parameters applied for the given step of a semiconductor fabrication process. The virtual cross metrology engine may also be configured to construct a subsequent virtual metrology model, and the subsequent step is performed after the given step in the semiconductor fabrication process. Doing so may include determining inputs for the subsequent virtual metrology model from a combination of the process parameters applied for the given step of the semiconductor fabrication process, process parameters applied for the subsequent step of the semiconductor fabrication process, and a wafer value for the given step of the semiconductor fabrication process that the given virtual metrology model is configured to predict.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)
  • G03F 7/20 - Exposure; Apparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

58.

PARALLEL SIMULATION QUALIFICATION WITH PERFORMANCE PREDICTION

      
Application Number 17398070
Status Pending
Filing Date 2021-08-10
First Publication Date 2023-02-16
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Jain, Rohit Kumar
  • Anantpur, Jayvant Padmanabha
  • Kehoe, Devon J.
  • Sangal, Vikas

Abstract

A simulator can simulate a circuit design describing an electronic device using a single processing device of a computing system. The simulator can generate profile data associated with compilation of the circuit design and the single processing device simulation of the compiled circuit design. The profile data can identify multiple different ways to partition the circuit design and include information corresponding to the single processing device simulation of the compiled circuit design. A parallel simulation qualifier can determine a parallelism factor corresponding to an expected performance of the computing system in a multiple processing device simulation of the circuit design based on the profile data from the single processing device simulation of the circuit design. The simulator can utilize the parallelism factor to partition the circuit design in one of the different ways, and simulate the partitioned circuit design with multiple processing devices of the computing system.

IPC Classes  ?

  • G06F 30/3312 - Timing analysis
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/337 - Design optimisation
  • G06F 30/3323 - Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking

59.

CONSTRUCTION OF ENVIRONMENT VIEWS FROM SELECTIVELY DETERMINED ENVIRONMENT IMAGES

      
Application Number 17398179
Status Pending
Filing Date 2021-08-10
First Publication Date 2023-02-16
Owner Siemens Industry Software Inc. (USA)
Inventor Hamadou, Mehdi

Abstract

A computing system may include a client device and a server. The client device may be configured to access a stream of image frames that depict an environment, determine, from the stream of image frames, environment images that satisfy selection criteria, and transmit the environment images to the server. The server may be configured to receive the environment images from the client device, construct a spatial view of the environment based on position data included with the environment images, and navigate the spatial view, including by receiving a movement direction and progressing from a current environment image depicted for the spatial view to a next environment image based on the movement direction.

IPC Classes  ?

  • H04N 7/18 - Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
  • H04N 5/262 - Studio circuits, e.g. for mixing, switching-over, change of character of image, other special effects

60.

MEMORY BUILT-IN SELF-TEST WITH AUTOMATED MULTIPLE STEP REFERENCE TRIMMING

      
Application Number 17757013
Status Pending
Filing Date 2020-08-28
First Publication Date 2023-02-16
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Yun, Jongsin
  • Keim, Martin

Abstract

A memory device can sense stored data during memory read operations using a reference trim, and a memory built-in self-test system can perform a multiple step process to set the reference trim for the memory device. The memory built-in self-test system can set a reference trim range that corresponds to a range of available reference trim values and then select one of the reference trim values in the reference trim range as the reference trim for the memory device. The memory built-in self-test system can set the reference trim range by prompting performance of the memory read operations using different positions of the reference trim range relative to read characteristics of the memory device and set a position for the reference trim range relative to the read characteristics of the memory device based on failures of the memory device to correctly sense the stored data during the memory read operations.

IPC Classes  ?

  • G11C 29/44 - Indication or identification of errors, e.g. for repair
  • G06F 3/06 - Digital input from, or digital output to, record carriers

61.

SELF-INTERSECTING LATTICE INCARNATION

      
Application Number 17388771
Status Pending
Filing Date 2021-07-29
First Publication Date 2023-02-02
Owner Siemens Industry Software Inc. (USA)
Inventor Gunton, James

Abstract

A computer-implemented method of incarnating a self-intersecting lattice structure as a mesh in a three-dimensional model is described. A pair of bodies in the lattice is chosen. An initial set of sample points is created by intersecting a set of constant parameter curves within the parameter range lying on the surface of one of the bodies of the pair with the surface of the other body of the pair. Chords between adjacent sample points in the initial set of samples that lie within a pre-determined tolerance of the surfaces of both bodies in the pair are calculated and iterated over until all the sample points are within the pre-determined tolerance. Once this is done for all bodies in the lattice, a mesh is incarnated.

IPC Classes  ?

62.

Method and Device for Testing A Base Station

      
Application Number 17785473
Status Pending
Filing Date 2019-12-16
First Publication Date 2023-01-26
Owner SIEMENS INDUSTRY SOFTWARE INC. (USA)
Inventor
  • Kaszuk, Imre
  • Haapala, Jari
  • Niiranen, Miika

Abstract

A method and device for testing a base station comprising one or more radio units and a baseband unit connectable to the one or more radio units, wherein the device includes a configuration module configured to generate a first test case configuration associated with the one or more radio units and the baseband unit, where the first test case configuration includes a first protocol stack including a first protocol associated with a first layer and a second protocol associated with a second layer, and where a first set of protocol parameters associated with the first protocol is in a first namespace and a second set of protocol parameters associated with the second protocol is in a second namespace that is distinct from the first name space.

IPC Classes  ?

63.

SYSTEM AND METHOD FOR IMPROVING ENGINEER-TO-ORDER CONFIGURATION

      
Application Number 17786041
Status Pending
Filing Date 2019-12-16
First Publication Date 2023-01-26
Owner Siemens Industry Software Inc. (USA)
Inventor Neuhaeusser, Martin Richard

Abstract

A method for determining a set of output configuration values characterizing a specific configuration of a complex product, includes receiving a set of input configuration parameters, providing at least a part of the input configuration parameters as an input to a solver, using the solver to calculate at least one output value from the provided input configuration parameters, and determining the set of output configuration values from at least the output value calculated by the solver. The solver is configured for solving a first order logic function encoding an algorithm of a trained deep neural network or DNN, wherein the algorithm of the DNN has been trained for modeling a function of an external configuration tool or ECT that is required for determining the specific configuration of the complex product. A system for determining the set of output configuration values, and a training system, are also provided.

IPC Classes  ?

  • G06N 3/02 - Neural networks
  • G06Q 10/04 - Forecasting or optimisation specially adapted for administrative or management purposes, e.g. linear programming or "cutting stock problem"
  • G06Q 10/06 - Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling

64.

Transmission rate adaptation

      
Application Number 17783398
Grant Number 11742979
Status In Force
Filing Date 2019-12-18
First Publication Date 2023-01-19
Grant Date 2023-08-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Niiranen, Miika
  • Vierimaa, Kari

Abstract

A method is provided for transmission rate adaptation of one or more data units, the method including: receiving, by an adapter, the adapter including an adaptation circuitry, a plurality of data units according to a first transmission rate and at least one delay character separating two consecutive data units; and transmitting, by the adapter, each of the plurality of data units received according to a second transmission rate, wherein the second transmission rate is determined based on the at least one delay character received.

IPC Classes  ?

  • H04L 1/00 - Arrangements for detecting or preventing errors in the information received
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer
  • H04W 88/18 - Service support devices; Network management devices

65.

Determining a cut pattern of a lathe method, control device, and lathe

      
Application Number 17784033
Grant Number 11630438
Status In Force
Filing Date 2019-12-13
First Publication Date 2023-01-12
Grant Date 2023-04-18
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Brake, Sebastian
  • Rinas, Reinhard

Abstract

A computer-implemented method is provided for determining a cut pattern of a lathe. The lathe is numerically controlled by a control device and includes a tool with a cutter acting on a workpiece. The workpiece has a start contour and a target contour to be achieved by cutting the workpiece according to the cut pattern. The method includes determining a path of a n-th layer of the cut pattern, wherein the n-th layer includes: for n≥2: an infeed path linear and/or parallel to the target contour; a circular infeed path starting tangent to the target contour; an intermediate path linear and/or parallel to the target contour; a circular outfeed path ending tangent to the target contour; and for n≥2: a smoothing path linear and/or parallel to the target contour.

IPC Classes  ?

  • G05B 19/4093 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by part programming, e.g. entry of geometrical information as taken from a technical drawing, combining this with machining and material information to obtain control information, named part programme, for the NC machine

66.

METHOD AND APPARATUS FOR DESIGNING AND MANUFACTURING A COMPONENT IN A COMPUTER-AIDED DESIGN AND MANUFACTURING ENVIRONMENT

      
Application Number 17784619
Status Pending
Filing Date 2020-07-31
First Publication Date 2023-01-12
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Desai, Devansh
  • Fergani, Omar
  • Shukla, Vinit
  • Gebauer, Matthias

Abstract

A method and apparatus for designing and manufacturing a component in a computer-aided design and manufacturing environment is disclosed. A method includes obtaining a geometric model of a component from a geometric model database, and determining at least one orientation parameter value associated with the geometric model of the component. The at least one orientation parameter value is associated with an orientation parameter that defines orientation of the component during additive manufacturing of the component. The method includes performing volumetric analysis of the component based on the at least one orientation parameter value associated with the component using the geometric model of the component. The method also includes computing one or more overheating areas in the component corresponding to the at least one orientation parameter value based on the volumetric analysis of the geometric model of the component, and outputting a multi-dimensional visual representation of the geometric model of the component Indicating one or more overheating areas in the component.

IPC Classes  ?

  • G05B 19/4099 - Surface or curve machining, making 3D objects, e.g. desktop manufacturing
  • B22F 10/80 - Data acquisition or data processing
  • B33Y 50/00 - Data acquisition or data processing for additive manufacturing

67.

DETECTING ANOMALOUS LATENT COMMUNICATIONS IN AN INTEGRATED CIRCUIT CHIP

      
Application Number 17780339
Status Pending
Filing Date 2020-11-26
First Publication Date 2023-01-05
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Panesar, Gajinder
  • Hlond, Marcin

Abstract

A method of detecting anomalous latencies in communications between components on an integrated circuit (IC) chip. The method includes: (i) monitoring communications between a first component of the IC chip and other components of the IC chip, each communication comprising a command sent from the first component to another component, and a response received by the first component from that other component, the monitoring comprising: measuring the number of communications in each of a series of monitored time windows, and measuring the latency of each communication in the series of monitored time windows; (ii) calculating a maximum tolerable latency for each operational time window of the first component from the number of communications in that operational time window, an available stall time of the first component in that operational time window, and a latency penalty factor for that operational time window; and (iii) determining a measured latency to be anomalous if the measured latency is greater than the maximum tolerable latency.

IPC Classes  ?

  • G06F 11/34 - Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation
  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

68.

Identifying causes of anomalies observed in an integrated circuit chip

      
Application Number 17780837
Grant Number 11816016
Status In Force
Filing Date 2020-11-26
First Publication Date 2023-01-05
Grant Date 2023-11-14
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Panesar, Gajinder
  • Hlond, Marcin

Abstract

A method of identifying a cause of an anomalous feature measured from system circuitry on an integrated circuit (IC) chip, the IC chip comprising the system circuitry and monitoring circuitry for monitoring the system circuitry by measuring features of the system circuitry in each window of a series of windows, the method comprising: (i) from a set of windows prior to the anomalous window comprising the anomalous feature, identifying a candidate window set in which to search for the cause of the anomalous feature; (ii) for each of the measured features of the system circuitry: (a) calculating a first feature probability distribution of that measured feature for the candidate window set; (b) calculating a second feature probability distribution of that measured feature for window(s) not in the candidate window set; (c) comparing the first and second feature probability distributions; and (d) identifying that measured feature in the timeframe of the candidate window set as a cause of the anomalous feature if the first and second feature probability distributions differ by more than a threshold value; (iii) iterating steps (i) and (ii) for further candidate window sets from the set of windows prior to the anomalous window; and (iv) outputting a signal indicating those measured feature(s) of step (ii)(d) identified as a cause of the anomalous feature.

IPC Classes  ?

  • G06F 11/00 - Error detection; Error correction; Monitoring
  • G06F 11/34 - Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation
  • G01R 31/3185 - Reconfiguring for testing, e.g. LSSD, partitioning

69.

COMBINED MICROSTRUCTURE AND OBJECT BOUNDARY REPRESENTATIONS OF COMPUTER-AIDED DESIGN OBJECTS

      
Application Number 17779383
Status Pending
Filing Date 2019-12-05
First Publication Date 2022-12-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Collins, Richard Charles
  • Nanson, Peter Philip Lonsdale

Abstract

A computing system may include an object representation engine and an object incarnation engine. The object representation engine may be configured to define a computer-aided design (CAD) object in a CAD model as a combination of an object boundary comprised of bounding faces that encapsulate the CAD object and a microstructure that defines an internal geometry of the CAD object in a procedural representation. The procedural representation may be a representation of the internal geometry of the CAD object in a non-incarnated form. The object incarnation engine may be configured to incarnate, via the procedural representation of the microstructure, the internal geometry of the CAD object into a geometric representation to perform a CAD operation on the CAD object.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

70.

MACHINE LEARNING-BASED SELECTIVE INCARNATION OF COMPUTER-AIDED DESIGN OBJECTS

      
Application Number 17777525
Status Pending
Filing Date 2019-12-05
First Publication Date 2022-12-22
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Musuvathy, Suraj Ravi
  • Allen, George
  • Dedhia, Hiren

Abstract

A computing system may include an instance identification engine configured to determine a selected subset of pattern instances of a programmatic pattern used to represent a geometry of a computer-aided design (CAD) object, including by identifying a CAD operation to perform on the CAD object; determining a sampled point set in the CAD object applicable to the CAD operation; providing the sampled point set as an input to an inversion machine-learning (ML) model trained to output a given pattern instance of the programmatic pattern for an input point of the CAD object; and determining, as the selected subset, an output set of pattern instances provided by the inversion ML model for the sampled point set. The system may also include an object incarnation engine configured to incarnate a geometry of the selected subset of pattern instances to perform the CAD operation on the CAD object.

IPC Classes  ?

  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
  • G06F 30/10 - Geometric CAD

71.

Monitoring processors operating in lockstep

      
Application Number 17777544
Grant Number 11928007
Status In Force
Filing Date 2020-11-25
First Publication Date 2022-12-15
Grant Date 2024-03-12
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Panesar, Gajinder
  • Robertson, Iain
  • Stewart, Callum
  • Moller, Hanan
  • Cheah, Melvin

Abstract

An integrated circuit (IC) chip includes system circuitry having system memory, and a master processor and a checker processor configured to operate in lockstep; and monitoring circuitry comprising an internal lockstep monitor, a master tracer and a checker tracer. The internal lockstep monitor is configured to: observe states of internal signals of the master processor and the checker processor, compare corresponding observed states of the master processor and the checker processor, and if the corresponding observed states differ: trigger the master tracer to output stored master trace data recorded from the output of the master processor, and trigger the checker tracer to output stored checker trace data recorded from the output of the checker processor.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

72.

Obscured metal stack definition tuning for electronic design automation (EDA) applications

      
Application Number 17329614
Grant Number 11734487
Status In Force
Filing Date 2021-05-25
First Publication Date 2022-12-01
Grant Date 2023-08-22
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Saleh, Mohamed Saleh Abouelyazid
  • Falbo, James K.

Abstract

A computing system may include a metal stack tuning engine and a tuned metal stack application engine. The metal stack tuning engine may be configured to access an obscured metal stack definition specified for an integrated circuit (IC) manufacture process and tune selected metal stack parameters of the obscured metal stack definition to obtain a tuned metal stack definition. The metal stack tuning engine may do so by generating sampled metal stack definitions, constructing sampled layout geometries from the sampled metal stack definitions, computing parasitic capacitance value sets for the sampled layout geometries, and determining tuned values for the selected metal stack parameters through a curve fitting process. The tuned metal stack application engine may be configured to use the tuned metal stack definition to perform a parasitic capacitance extraction process for an input IC design.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

73.

Configurable built-in self-repair chain for fast repair data loading

      
Application Number 17399104
Grant Number 11495315
Status In Force
Filing Date 2021-08-11
First Publication Date 2022-11-08
Grant Date 2022-11-08
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Zou, Wei
  • Nadeau-Dostie, Benoit

Abstract

A scan network configured to transport repair information between memories and a controller for a non-volatile storage device comprises: repair registers coupled in parallel to repair information generation circuitry for one of the memories and segment selection devices that divide the repair registers into repair register segments. Each of the segment selection devices comprises: a storage element configured to store a segment selection bit and segment selection bit generation circuitry configured to generate the segment selection bit based on the repair information. Each of the segment selection devices is configurable to include or not include the corresponding repair register segment in a scan path of the scan network in a shift operation based on the segment selection bit.

IPC Classes  ?

  • G11C 29/00 - Checking stores for correct operation; Testing stores during standby or offline operation
  • G11C 29/10 - Test algorithms, e.g. memory scan [MScan] algorithms; Test patterns, e.g. checkerboard patterns
  • G11C 29/32 - Serial access; Scan testing
  • G11C 29/12 - Built-in arrangements for testing, e.g. built-in self testing [BIST]
  • G11C 29/44 - Indication or identification of errors, e.g. for repair

74.

Verification performance profiling with selective data reduction

      
Application Number 17236606
Grant Number 11868693
Status In Force
Filing Date 2021-04-21
First Publication Date 2022-10-27
Grant Date 2024-01-09
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Jain, Rohit Kumar
  • Lowder, David
  • Insley, James
  • Cherukumilli, Srinivasa

Abstract

This application discloses a computing system implementing a design verification tool to perform functional verification on a circuit design describing an electronic device and collect samples of performance data during the functional verification. The computing system can also include a performance visualization tool to generate a profile presentation based on the samples of performance data. The profile presentation, when displayed, can annunciate portions of the circuit design corresponding to at least one performance hotspot. The performance visualization tool can receive a data reduction request based on the performance hotspot annunciated by the profile presentation. The data reduction request can identify a subset of the performance data in the profile presentation. The performance visualization tool can generate a refined profile presentation based, at least in part, on the samples of performance data and the subset of the performance data identified in the data reduction request.

IPC Classes  ?

  • G06F 30/33 - Design verification, e.g. functional simulation or model checking
  • G06F 16/23 - Updating
  • G06F 111/02 - CAD in a network environment, e.g. collaborative CAD or distributed simulation

75.

MANAGING A MACHINE TOOL METHOD, FOR EXAMPLE METHOD OF MAPPING TOOLPATH DATA AND MACHINE CODE, A CONTROL DEVICE, AND A MACHINE TOOL

      
Application Number 17238628
Status Pending
Filing Date 2021-04-23
First Publication Date 2022-10-27
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Feind, Thomas
  • Wight, Jeremy
  • Grabowski, Volker

Abstract

A computer-implemented method includes receiving toolpath data for machining a workpiece with a tool along a toolpath. The tool is comprised by a machine tool that is numerically controlled by a control device. Machine code for the machine tool corresponding to the toolpath data is received. A map linking at least one item of the toolpath data and at least one item of the machine code corresponding to the least one item of the toolpath data is created. At least one first item of the toolpath data and one or more second items of the machine code corresponding to the at least one first item are displayed to a user using the created map. A control device and a machine tool arranged and configured to execute the computer-implemented method are also provided.

IPC Classes  ?

  • G05B 19/402 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
  • G05B 19/408 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by data handling or data format, e.g. reading, buffering or conversion of data
  • G05B 19/409 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form - characterised by control panel details, by setting parameters
  • G05B 19/4069 - Simulating machining process on screen

76.

ADDITIVE MANUFACTURING OF MONOLITHIC PRODUCTS THAT INCLUDE BRIDGE STRUCTURES

      
Application Number 17632953
Status Pending
Filing Date 2019-09-06
First Publication Date 2022-10-20
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Maynard, James
  • Fithian, Timothy R.
  • Jaje, Jeffrey A.

Abstract

Methods for computer-aided design, engineering, visualization, or manufacturing (CAx) operations and corresponding systems and computer-readable mediums are disclosed herein. A method includes receiving, by a data processing system, a computer-aided design, engineering, visualization, or manufacturing model of a product to be manufactured. The CAx model comprises a bridge structure and at least two leg structures. The method includes slicing the CAx model into a plurality of layers, wherein each layer in each leg structure has a corresponding layer number in a sequence of layer numbers for that leg structure. The method includes maintaining an association between corresponding layer numbers of each of the leg structures. The method includes manufacturing the product according to the sequence of layer numbers and the associations, including manufacturing at least one layer of each leg structure in turn. Each leg structure completes manufacture at substantially the same time.

IPC Classes  ?

  • B22F 10/85 - Data acquisition or data processing for controlling or regulating additive manufacturing processes
  • G05B 19/4099 - Surface or curve machining, making 3D objects, e.g. desktop manufacturing
  • B33Y 50/02 - Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes

77.

METHOD AND SYSTEM FOR COMPUTER AIDED DESIGN

      
Application Number 17763714
Status Pending
Filing Date 2019-09-27
First Publication Date 2022-10-13
Owner Siemens Industry Software Inc. (USA)
Inventor
  • King, Douglas
  • Mattson, Howard
  • Rogers, Jeremy
  • Zhu, Yanong

Abstract

A method and system for computer aided design, (e.g., of products and other items), are disclosed herein. The method may include receiving a CAD model having a behavior defined by a plurality of relationships, receiving a user operation to edit a seed feature in the CAD model, and identifying a set of problem relationships from the plurality of relationships, wherein the set of problem relationships prevent implementation of the received user operation to the received CAD model. A category for each relationship in the set of problem relationships is selected. The behavior of the CAD model is reconfigured based on the selected category for each problem relationship by retaining any user-defined relationships, optionally retaining any optional relationships, and ignoring any relaxed relationships. The user operation is then performed according to the reconfigured behavior to produce a modified CAD model.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD
  • G06F 30/17 - Mechanical parametric or variational design

78.

ROLLBACK FOR COMMUNICATION LINK ERROR RECOVERY IN EMULATION

      
Application Number 17754320
Status Pending
Filing Date 2019-10-10
First Publication Date 2022-10-13
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Selvidge, Charles W.
  • Clavequin, Jean-Paul
  • Brault, Jean-Marc
  • Vuillemin, Laurent

Abstract

Each of a plurality of reconfigurable hardware modeling circuits in a reconfigurable hardware modeling device comprises: a plurality of communication ports; error monitoring circuitry configured to monitor, while the reconfigurable hardware modeling device is performing an operation for verifying a circuit design, whether data received from the plurality of communication ports contain an error or not, and send out an error signal indicating the monitoring result; and rollback circuitry configured to, if data received by any of the plurality of reconfigurable hardware modeling circuits contain an error, enable the reconfigurable hardware modeling circuit to repeat the operation from a state before the error is received, and if data received by the plurality of reconfigurable hardware modeling circuits contain no error, allow the reconfigurable hardware modeling circuit to continue the operation.

IPC Classes  ?

  • H04L 1/00 - Arrangements for detecting or preventing errors in the information received
  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation

79.

Shadow feature-based determination of capacitance values for integrated circuit (IC) layouts

      
Application Number 17218694
Grant Number 11687695
Status In Force
Filing Date 2021-03-31
First Publication Date 2022-10-06
Grant Date 2023-06-27
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Elsewefy, Omar
  • Hegazy, Hazem

Abstract

A computing system may include a shadow feature model training engine configured to access a set of integrated circuit (IC) layouts and capacitance values determined for components of the set of IC layouts. The shadow feature model training engine may construct shadow feature training data for the set of IC layouts, including by extracting shadow features for components of the set of IC layouts, combine extracted shadow features and determined capacitance values to form the shadow feature training data, and may further train a machine-learning (ML) model with the shadow feature training data. The computing system may also include a shadow feature application engine configured to extract shadow features for components of an input IC layout and determine capacitance values for the input IC layout via the trained ML model.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G01R 27/26 - Measuring inductance or capacitance; Measuring quality factor, e.g. by using the resonance method; Measuring loss factor; Measuring dielectric constants
  • G06F 30/337 - Design optimisation

80.

SEMICONDUCTOR LAYOUT CONTEXT AROUND A POINT OF INTEREST

      
Application Number 17638315
Status Pending
Filing Date 2019-08-30
First Publication Date 2022-09-29
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Abercrombie, David A.
  • Selim, Mohamed Alimam Mohamed
  • Bahnas, Mohamed
  • Hegazy, Hazem
  • Hamed, Ahmed Hamed Fathi

Abstract

Systems and methods for analyzing a semiconductor layout design around a point of interest (POI) are disclosed. Semiconductor layout designs are a representation of an integrated circuit in terms of planar geometric shapes which make up the components of the integrated circuit, and are used to manufacture the integrated circuit. The layout design may be analyzed using one or more POI-based approaches to determine whether to modify the layout design. In one POI-based approach, set of kernels, tailored to the downstream application, are convolved with a representation of the layout design about or around the POI in order to generate a signature associated with the POI. In turn, the signatures may be analyzed based on the downstream application. Another POI-based approach consists of analyzing geometrical parameters associated with the POI, which may be used during a design stage to identify and modify problem areas in the layout design.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

81.

Duplicate circuit section identification and processing for optical proximity correction (OPC) processes in electronic design automation (EDA) applications

      
Application Number 17212074
Grant Number 11886788
Status In Force
Filing Date 2021-03-25
First Publication Date 2022-09-29
Grant Date 2024-01-30
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Park, Jea Woo
  • Kim, Soohong

Abstract

A computing system may include a circuit design access engine configured to access a circuit design. The computing system may also include a duplicate section processing engine configured to partition the circuit design into multiple circuit sections and determine, from among the multiple circuit sections, an identical section set based on duplicate criteria. Circuit sections of the identical section set may satisfy the duplicate criteria with respect to one another. The duplicate section processing engine may further be configured to perform an OPC processing operation on a selected circuit section of the identical section set and apply an OPC result of the performed OPC processing operation for other circuit sections of the identical section set instead of or without performing the OPC processing operation on the other circuit sections of the identical section set.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

82.

Universal compactor architecture for testing circuits

      
Application Number 17753332
Grant Number 11815555
Status In Force
Filing Date 2019-09-06
First Publication Date 2022-09-29
Grant Date 2023-11-14
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Liu, Yingdi
  • Mukherjee, Nilanjan
  • Rajski, Janusz
  • Mrugalski, Grzegorz
  • Tyszer, Jerzy
  • Wlodarczak, Bartosz

Abstract

A circuit comprises scan gating devices inserted between outputs of scan chains and inputs of a test response compactor. The scan gating devices divides the scan chains into groups of scan chains. Each of the scan gating devices operates in either an enabled mode or a disenabled mode based on a first signal. A scan gating device operating in the enabled mode blocks, blocks only at some clock cycles, or does not block a portion of a test response of a test pattern captured by and outputted from a scan chain in the associated scan chain group based on a second signal. Scan gating devices operating in the disenabled mode do not block, or based on a third signal, either block or do not block, a portion of the test response captured by and outputted from all scan chains in each of the associated scan chain groups.

IPC Classes  ?

  • G01R 31/3177 - Testing of logic operation, e.g. by logic analysers
  • G01R 31/3185 - Reconfiguring for testing, e.g. LSSD, partitioning

83.

EFFICIENT SCHEDULING OF TASKS FOR RESOLUTION ENHANCEMENT TECHNIQUE OPERATIONS

      
Application Number 17629923
Status Pending
Filing Date 2019-08-21
First Publication Date 2022-09-15
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Kim, Soohong Austin
  • Vu, Hien T.

Abstract

A system and method for scheduling optical proximity correction (OPC) or other resolution enhancement technique (RET) operations on a layout design is disclosed. A layout design is divided into a plurality of regions, such as a plurality of tiles. OPC is performed on the plurality of tiles in order to generate a modified layout design. Performing OPC on the plurality of tiles may be time consuming. In order to more efficiently distribute the processing of OPC, estimates of OPC processing times for the plurality of tiles is performed. The estimate of the OPC processing time for a respective tile may be based on one or both of analysis of: analysis of the respective tile; or analysis of tile(s) that neighbor the respective tile. Based on the estimates, tiles that have a longer estimated processing fore tiles that have a shorter estimated processing time, thereby potentially resulting in more efficient OPC processing.

IPC Classes  ?

  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement

84.

SYSTEMS AND METHOD FOR PROCESSING TOPOLOGY OPTIMIZED GEOMETRIES

      
Application Number 17632481
Status Pending
Filing Date 2019-08-28
First Publication Date 2022-09-08
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Gavranovic, Stefan
  • Musuvathy, Suraj Ravi
  • Hartmann, Dirk
  • Nanson, Peter
  • Collins, Richard
  • Dedhia, Hiren

Abstract

A computing system may include a geometry access engine configured to access geometries associated with a topology optimization process, including an original geometry that represents a design space upon which the topology optimization process applies to as well as a topology optimized geometry that represents an output of the topology optimization process performed for the original geometry. The system may also include geometry processing engine configured to generate a final geometry from the topology optimized geometry, including by conforming the topology optimized geometry to the original geometry at portions of the topology optimized geometry that correspond to fixed regions of the original geometry as well as smoothing the topology optimized geometry at portions that correspond to non-fixed regions of the original geometry.

IPC Classes  ?

85.

SYSTEMS AND METHODS FOR AUTOMATIC DETACHMENT OF SUPPORT STRUCTURES FOR 3D PRINTED PARTS

      
Application Number 17637711
Status Pending
Filing Date 2019-08-29
First Publication Date 2022-09-01
Owner Siemens Industry Software Inc. (USA)
Inventor Ceriani, Nicola Maria

Abstract

A computing system may include a design access engine configured to access a digital design of a part designed for construction through an additive manufacturing process. The computing system may also include a detachable support structure engine configured to insert, into the digital design, a support structure configured to support construction of a surface of the part. The inserted support structure may include a shape-memory element configured to be in a diminished shape during the additive manufacturing process and expand into an expanded shape after the additive manufacturing process ends as well as an element enclosure attached to the surface of the part and configured to hold the shape-memory element in the diminished shape and break from the part as the shape-memory element expands into the expanded shape.

IPC Classes  ?

  • B22F 10/47 - Structures for supporting workpieces or articles during manufacture and removed afterwards characterised by structural features
  • B22F 10/43 - Structures for supporting workpieces or articles during manufacture and removed afterwards characterised by material
  • B22F 10/28 - Powder bed fusion, e.g. selective laser melting [SLM] or electron beam melting [EBM]

86.

IMAGE-BASED DEFECT DETECTIONS IN ADDITIVE MANUFACTURING

      
Application Number 17627767
Status Pending
Filing Date 2019-07-25
First Publication Date 2022-08-25
Owner SIEMENS INDUSTRY SOFTWARE INC. (USA)
Inventor
  • Ameta, Gaurav
  • Musuvathy, Suraj Ravi
  • Arvanitis, Elena
  • Madeley, David
  • Fergani, Omar
  • Van 'T Erve, Tom
  • Dalloro, Livio

Abstract

A computing system may include an access engine and a defect detection engine. The access engine may be configured to access a slice contour of a given layer of a 3-dimensional (3D) object designed for manufacture through an additive manufacturing process and obtain hatch tracking for the slice contour, the hatch tracking representative of an energy path to melt metal powder for constructing the given layer through the additive manufacturing process. The defect detection engine may be configured to construct, from the slice contour, an as-built image for the given layer by rendering the hatch tracking in the slice contour; construct, from the slice contour, an idealized image for the given layer; and identify defects in the given layer via image analysis between the as-built image and the idealized image.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • B29C 64/393 - Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes
  • B29C 64/153 - Processes of additive manufacturing using only solid materials using layers of powder being selectively joined, e.g. by selective laser sintering or melting
  • B33Y 10/00 - Processes of additive manufacturing
  • B33Y 50/02 - Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes
  • G06F 30/20 - Design optimisation, verification or simulation

87.

METHOD AND SYSTEM FOR CLASSIFYING COMPONENTS IN A PRODUCT DATA MANAGEMENT ENVIRONMENT

      
Application Number 17618432
Status Pending
Filing Date 2019-12-23
First Publication Date 2022-08-11
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Nadimetla, Krishna Mohan Rao
  • Aklujkar, Abhijit
  • Patil, Sandip

Abstract

A method and system for classifying components in a product data management (PDM) environment is disclosed. A method includes obtaining data files having information associated with a component to be classified in a PDM database. Each data file includes different types of information associated with the component. A series of predictions indicating a probability of the component belonging to one or more categories is computed based on each type of information associated with the component using one or more artificial intelligence models. An overall probability of the component belonging to the one or more categories is computed based on each of the series of predictions. The component is classified in at least one category of the one or more categories based on the computed probability of the component belonging to the one or more categories. The category associated with the classified component is output on a graphical user interface.

IPC Classes  ?

  • G06F 16/28 - Databases characterised by their database models, e.g. relational or object models
  • G06Q 10/06 - Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling

88.

OBJECT DESIGN PROCESSING USING COARSE GEOMETRIC ELEMENTS AND HIGH-RESOLUTION LATTICE ELEMENTS

      
Application Number 17629967
Status Pending
Filing Date 2019-08-27
First Publication Date 2022-08-11
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Musuvathy, Suraj Ravi
  • Madeley, David
  • Mirabella, Lucia
  • Gavranovic, Stefan
  • Hartmann, Dirk

Abstract

A computing system may include a design access engine and a design processing engine. The design access engine may be configured to access an object design to be constructed through additive manufacturing. The design processing engine may be configured to represent the object design as a combination of coarse geometric elements and high-resolution lattice elements and process the object design based on both the coarse geometric elements and the high-resolution lattice elements. Processing of the object design may include generation of lattice infills, lattice simulations, or a combination of both.

IPC Classes  ?

  • G06F 30/23 - Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
  • G06F 30/17 - Mechanical parametric or variational design
  • B29C 64/393 - Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes
  • B33Y 50/02 - Data acquisition or data processing for additive manufacturing for controlling or regulating additive manufacturing processes

89.

SELECTIVE LOADING OF INTERACTION DATA FOR VISUALIZED ELEMENTS OF A COMPUTER-AIDED DESIGN (CAD) MODEL

      
Application Number 17609301
Status Pending
Filing Date 2019-05-22
First Publication Date 2022-07-21
Owner Siemens Industry Software Inc. (USA)
Inventor Muktarian, Derek

Abstract

A client computing system may include a model visualization engine and a model interaction engine. The model visualization system may be configured to access, from a server computing system remote to the client computing system, visualization data to display a computer-aided design (CAD) model in a viewing window of the client computing system. The model interaction engine may be configured to query the server computing system for interaction data of a selected portion of the CAD model, but not other portions of the CAD model, wherein the interaction data comprises CAD model data that supports user interaction with the selected portion of the CAD model.

IPC Classes  ?

  • G06F 30/12 - Geometric CAD characterised by design entry means specially adapted for CAD, e.g. graphical user interfaces [GUI] specially adapted for CAD

90.

SYSTEM AND METHOD FOR MULTI-USER SPATIAL IMPACT ANALYSIS AND MANAGEMENT

      
Application Number 17611978
Status Pending
Filing Date 2019-06-10
First Publication Date 2022-07-07
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Garimella, Raman
  • Vemulapalli, Prabath

Abstract

Methods for CAD operations and corresponding systems and computer-readable mediums are disclosed herein. A method includes accessing (302), by a data processing system (202, 500), a computer-aided design, engineering, visualization, or manufacturing (CAx) model (208) of a part or assembly to be manufactured. The method includes storing (304) a plurality of impact definitions (204) corresponding to the CAx model (208). The method includes receiving (306), from a first client system (220A), an indication of a first spatial area of the CAx model (208) and, from a second client system (220B), an indication of a second spatial area of the CAx model (208). The method includes receiving (308) a notification of a save event created by the first client system (220A) for the first spatial area. The method includes performing impact detection (310) according to the save event, the first spatial area, and the impact definitions (204) to detect a potential impact. The method includes sending (312) an impact notification to the first client system (220A) and the second client system (220B).

IPC Classes  ?

  • G06F 30/15 - Vehicle, aircraft or watercraft design

91.

Method and apparatus for processing memory repair information

      
Application Number 17604805
Grant Number 11961576
Status In Force
Filing Date 2019-08-27
First Publication Date 2022-07-07
Grant Date 2024-04-16
Owner Siemens Industry Software Inc (USA)
Inventor
  • Nadeau-Dostie, Benoit
  • Romain, Luc

Abstract

Systems and methods for repairing a memory. A method includes performing a repair analysis of the embedded memories to produce repair information. The method includes storing the repair information in the registers, where the registers are organized into groups having chains of identical length. The method includes performing collision detection between the repair information in each of the groups. The method includes merging the repair information in each of the groups. The method includes repairing the embedded memories using the merged repair information.

IPC Classes  ?

  • G11C 29/00 - Checking stores for correct operation; Testing stores during standby or offline operation
  • G11C 29/36 - Data generation devices, e.g. data inverters
  • G11C 29/40 - Response verification devices using compression techniques
  • G11C 29/44 - Indication or identification of errors, e.g. for repair

92.

HARNESS ASSEMBLY LINE BALANCING

      
Application Number 17441434
Status Pending
Filing Date 2019-08-29
First Publication Date 2022-06-16
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Maddukuri, Vikas
  • Yvon, Jean-Marc
  • Cooper, Andrew Thomas

Abstract

This application discloses a computing system implementing a line balancing tool to generate a structured bill of materials for a wire harness based on a harness design and available fabrication processes. The computing system can decompose the structured bill of materials into tasks and assign the tasks to workstations in a production line configured to manufacture the wire harness. The computing system can determine dependencies between a plurality of the tasks and verify the tasks assigned to the workstation conform to the dependencies between the plurality of the tasks. The dependencies can indicate an order for performance of the operations associated with the tasks. The computing system can identify unassigned tasks capable of assignment to one or more of the workstations and determine which of the workstations the unassigned assembly tasks, if assigned, would conform with the dependencies between the plurality of the assembly tasks.

IPC Classes  ?

  • G06Q 10/06 - Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
  • G06Q 10/08 - Logistics, e.g. warehousing, loading or distribution; Inventory or stock management
  • G06Q 50/04 - Manufacturing
  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

93.

Device and Method for Configuring a Technical System

      
Application Number 17549089
Status Pending
Filing Date 2021-12-13
First Publication Date 2022-06-16
Owner SIEMENS INDUSTRY SOFTWARE INC. (USA)
Inventor
  • Singh, Santosh Kumar
  • Moeller, Thomas

Abstract

Device and method for configuring a technical system are disclosed, wherein the method includes generating a configuration model from configuration criteria for the technical system and the configuration model represents the technical system as an information model, where generating the configuration model includes validating the configuration criteria based on constraints associated with the technical system and identifying a maximum satisfiable rule set for the validated configuration criteria, the maximum satisfiable rule set being identified by determining a minimum number of conflicting rules to be removed to resolve conflicts with the validated configuration criteria, where the minimum number of conflicting rules being determined for rules ranked below the threshold severity; and by removing at least one of the minimum number of conflicting rules to generate the maximum satisfiable rule set.

IPC Classes  ?

  • G06N 5/00 - Computing arrangements using knowledge-based models
  • G06N 5/02 - Knowledge representation; Symbolic representation

94.

VOLUME SEPARATION IN CAD MODELS

      
Application Number 17437305
Status Pending
Filing Date 2019-03-15
First Publication Date 2022-06-09
Owner Siemens Industry Software Inc. (USA)
Inventor Mclaurin, David

Abstract

Methods for CAD operations and corresponding systems and computer-readable mediums are disclosed herein. A method can be performed by a data processing system and includes receiving a model of a part to be manufactured, wherein the model includes a first partial volume connected via a gap to a second partial volume. The method includes receiving a wall-distance value and applying the wall-distance value to the first partial volume of the model to define an initial volume having initial-volume boundaries. The method includes refining the initial-volume boundaries, generating at least one new surface in the model, and storing a modified model of the part to be manufactured, including the new surface.

IPC Classes  ?

95.

Mixed-signal simulation for complex design topologies

      
Application Number 17173963
Grant Number 11334702
Status In Force
Filing Date 2021-02-11
First Publication Date 2022-05-17
Grant Date 2022-05-17
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Banerjee, Kingshuk
  • Lal, Roshan
  • Arora, Anil
  • Dudeja, Manjul Kishore

Abstract

A computing system implementing a design verification system can elaborate a mixed-signal circuit design having a complex sandwich hierarchy using a standard digital solver and a standard analog solver, as opposed to a tightly coupled custom elaboration engine. The design verification system can parse the mixed-signal circuit design to identify analog design blocks and flatten the analog design blocks into the structural proxy blocks having parameter connections to digital design blocks in the mixed-signal circuit design. The design verification system can replace an analog portion of the mixed-signal circuit design with the structural proxy blocks and elaborate the structural proxy blocks and digital design blocks associated with a digital portion of the mixed-signal circuit design. The design verification system can elaborate the analog portion of the mixed-signal design and simulate the elaborated analog portion with an analog simulator and the elaborated digital portion with a digital simulator.

IPC Classes  ?

  • G06F 30/38 - Circuit design at the mixed level of analogue and digital signals
  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

96.

MACHINE LEARNING-BASED ANOMALY DETECTIONS FOR EMBEDDED SOFTWARE APPLICATIONS

      
Application Number 17433492
Status Pending
Filing Date 2019-03-05
First Publication Date 2022-05-12
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Veller, Yossi
  • Moshe, Guy

Abstract

Systems, methods, logic, and devices may support machine learning-based anomaly detections for embedded software applications. In a learning phase, an anomaly model training engine may construct an anomaly detection model, and the anomaly detection model configured to provide a determination of whether the embedded software application exhibits abnormal behavior based on activity measure and application parameter inputs. In a run-time phase, an anomaly detection engine may sample the embedded software application to obtain an activity measure and application parameters during the run-time execution and provide, as inputs to the anomaly detection model, the activity measure and the application parameters sampled during the run-time execution. The anomaly detection engine may further determine whether the embedded software application exhibits abnormal behavior based on an output from the anomaly detection model for the provided inputs.

IPC Classes  ?

  • G06F 21/52 - Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity, buffer overflow or preventing unwanted data erasure
  • G06N 20/00 - Machine learning

97.

SYSTEM AND METHOD FOR DESIGN AND MANUFACTURE USING MULTI-AXIS MACHINE TOOLS

      
Application Number 17431225
Status Pending
Filing Date 2019-04-03
First Publication Date 2022-05-05
Owner Siemens Industry Software Inc. (USA)
Inventor
  • Venugopalan, Janani
  • Arisoy, Erhan
  • Ren, Guannan
  • Kumar, Avinash
  • Hamadou, Mehdi
  • Loskyll, Matthias

Abstract

A design and manufacturing system includes a multi-axis machine tool including a cutting head able to support a plurality of available tools and a part support, the cutting head and part support fully controllable in at least two axes, a design system operable using a computer to generate a 3-D model of a part to be manufactured, and a machine learning model operable using the computer to analyze the part to be manufactured to identify features and develop a manufacturing plan at least partially based on the multi-axis machine tool and the plurality of available tools, the manufacturing plan including a type of tool used for each feature, a feed-rate for each type of tool for each feature, and a speed of the tool for each type of tool for each feature.

IPC Classes  ?

  • G05B 19/4099 - Surface or curve machining, making 3D objects, e.g. desktop manufacturing
  • G05B 19/408 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by data handling or data format, e.g. reading, buffering or conversion of data
  • G06N 3/08 - Learning methods
  • G05B 17/02 - Systems involving the use of models or simulators of said systems electric
  • G05B 13/04 - Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators

98.

Programmable test compactor for improving defect determination

      
Application Number 17330515
Grant Number 11320487
Status In Force
Filing Date 2021-05-26
First Publication Date 2022-05-03
Grant Date 2022-05-03
Owner SIEMENS INDUSTRY SOFTWARE INC. (USA)
Inventor
  • Cheng, Wu-Tung
  • Wang, Chen
  • Kassab, Mark A.

Abstract

A circuit comprises: scan chains comprising scan cells, the scan chains configured to shift in test patterns, apply the test patterns to the circuit, capture test responses of the circuit, and shift out the test responses; a decompressor configured to decompress compressed test patterns into the test patterns; a test response compactor configured to compact the test responses; and shuffler circuitry inserted between outputs of the scan chains and inputs of the test response compactor, the shuffler circuitry comprising state elements configured to delay output signals from some of the scan chains for one or more clock cycles based on a control signal, the control signal varying with the test patterns.

IPC Classes  ?

99.

System and method for accurate X handling using logic and symbolic cosimulation

      
Application Number 16847094
Grant Number 11321507
Status In Force
Filing Date 2020-04-13
First Publication Date 2022-05-03
Grant Date 2022-05-03
Owner SIEMENS INDUSTRY SOFTWARE INC. (USA)
Inventor Chang, Kai-Hui

Abstract

A computer executable system that runs symbolic simulation with formal X-analysis along with logic simulation to determine if Xs produced in logic simulation are real or not. Simulated values in logic simulation shown to be incorrect are rectified using formal analysis results to produce X-accurate simulation results that match real hardware.

IPC Classes  ?

  • G06F 17/10 - Complex mathematical operations
  • G06F 30/20 - Design optimisation, verification or simulation
  • G06F 119/02 - Reliability analysis or reliability optimisation; Failure analysis, e.g. worst case scenario performance, failure mode and effects analysis [FMEA]

100.

COMPUTER-AIDED DESIGN (CAD) BASED SENSOR DESIGN AND ANALYSIS

      
Application Number 17424252
Status Pending
Filing Date 2019-02-08
First Publication Date 2022-04-14
Owner Siemens Industry Software Inc. (USA)
Inventor O'Connor, John

Abstract

Systems, methods, logic, and devices may support computer-aided design (CAD) based sensor design and analysis. In some examples, a system may include a sensor design engine and a sensor analysis engine. The sensor design engine may be configured to access a CAD model of a part and define a sensor in the CAD model as a component of the part, including by specifying: design parameters for the sensor, manufacturing constraints for physical construction of the part including the sensor; and a signal type produced by the sensor. The sensor analysis engine may be configured to perform a simulation analysis on the part defined in the CAD model to include the sensor, including digitally simulating operation of the sensor as a component of the part.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation
  1     2     3     ...     13        Next Page